杂谈:DC对Verilog和SystemVerilog语言的支持

设计语言用哪种?

  1. 直接抛出结论:先有电路,后为描述
  2. 设计端而言,没有语言的高低好坏,只有描述的精准与否。本文的实验结果很好的说明了这一点。

Design Compiler对二者的支持

  1. 我们在Design Compiler中读入命令有这样的选项,支持包括v和sv在内的三种主流硬件描述语言。
    在这里插入图片描述

简单的fsm电路测试

  1. 分别给出一个简单的FSM电路的V代码和SV代码。并基于SAED32nm学习用工艺库来做DCT的实现。
    代码如下

module fsm(
    clk,
    rst_n,
    x,
    y
);
input  clk;
input  rst_n;
input  x;
output y;

parameter A = 3'd0,
          B = 3'd1,
          C = 3'd2,
          D = 3'd3,
          E = 3'd4;

reg [2:0] cur_state,nxt_state;

always @ (posedge clk or negedge rst_n) begin
    if (!rst_n) begin
        cur_state <= A;
    end
    else begin
        cur_state <= nxt_state;
    end
end

always @(*) begin
    if (!rst_n) begin
        nxt_state = A;
    end
    else begin
        case (cur_state) 
        A : if (x) 
                nxt_state = C;
            else 
                nxt_state = B;
        B : if (x) 
                nxt_state = D;
            else 
                nxt_state = B;
        C : if (x) 
                nxt_state = C;
            else 
                nxt_state = E;
        D : if (x) 
                nxt_state = C;
            else 
                nxt_state = E;
        E : if (x) 
                nxt_state = D;
            else 
                nxt_state = B;
        default: nxt_state = A;
    endcase
    end
end

assign y = (cur_state == D) | (cur_state == E);

endmodule
module fsm(
    clk,
    rst_n,
    x,
    y
);
input logic clk;
input logic rst_n;
input logic x;
output logic y;

typedef enum logic [2:0] {A,B,C,D,E} State;

State cur_state,nxt_state;

always_ff @ (posedge clk or negedge rst_n) begin
    if (!rst_n) begin
        cur_state <= A;
    end
    else begin
        cur_state <= nxt_state;
    end
end

always_comb begin
    if (!rst_n) begin
        nxt_state = A;
    end
    else begin
        case (cur_state) 
            A : if (x) 
                    nxt_state = C;
                else 
                    nxt_state = B;
            B : if (x) 
                    nxt_state = D;
                else 
                    nxt_state = B;
            C : if (x) 
                    nxt_state = C;
                else 
                    nxt_state = E;
            D : if (x) 
                    nxt_state = C;
                else 
                    nxt_state = E;
            E : if (x) 
                    nxt_state = D;
                else 
                    nxt_state = B;
            default: nxt_state = A;
        endcase

    end
end

assign y = (cur_state == D) | (cur_state == E);

endmodule

测试结果对比

  1. 我们对二者进行相同的综合环境设置并读入设计
    请添加图片描述请添加图片描述
  2. 分析结果如下:
    sv电路图结果如图所示:
    请添加图片描述
    v电路图描述结果如下图所示:
    请添加图片描述

写在最后

  1. 从上一节的结果来看,综合工具DC对两种语言的支持都是比较完美的,因此还是回归那句话,先有电路,后为描述
  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

IMMUNIZE

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值