数字电路设计之奇偶分频的verilog实现

本文介绍了如何使用Verilog语言实现数字电路设计中的奇偶分频。对于偶数分频,通过计数器从0到N/2-1进行分频;而对于奇数分频,采用错位“异或”法,利用上升沿和下降沿产生分频信号。通过具体的模块实例展示了16分频和奇分频的设计过程。
摘要由CSDN通过智能技术生成

奇偶分频使用计数器实现

1、若要进行N倍偶数分频,那么计数器就要从0~N/2-1,到N/2-1时反转。

例子:进行16分频。

module Even_Fre( clk_in,rst,clk_out );

  input wire clk_in,rst;

 output reg clk_out;

 reg   [2:0]count;

 always@(posedge clk_in) begin

    if( rst == 0 ) begin

     count   <= 0;

  clk_out <= 0;

 end

 else

 if( count == 7) begin

     count   <= 0;

     clk_out <= !clk_out;

 end

 else begin

    count    <= count + 1;

    clk_out  <= clk_out;

 end 

 end

endmodule

 

<
  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值