vivado约束方法8

无交互的逻辑互斥时钟组

逻辑排他性时钟是指在不同源点上定义但共享部分的时钟由于多路复用器或其他组合逻辑,它们的时钟树。时间限制向导识别此类时钟,并建议在它们这样做时直接对其进行时钟组约束除了连接到其共享时钟的逻辑之外,彼此之间没有定时路径树下图显示了上定义的两个时钟clkA和clkB的示例不同的输入端口,并开始在BUFFMUX的输出上重叠。

具有交互功能的逻辑互斥时钟组

定时约束向导标识具有定时路径的逻辑独占时钟而不仅仅是在连接到共享时钟树的逻辑上。这个下图显示了clkA和clkB具有共享时钟树部分的示例,以及也具有从共享时钟树到仅clkA的定时路径。

因为只有共享时钟树的时钟域交叉路径必须被忽略向导建议创建生成的时钟,这些时钟是clkA和clkB的副本,但仅存在于共享时钟树上。时钟组约束应用于生成的时钟使得共享时钟树的逻辑之外的路径仍然可以正常定时。这个下图说明了向导为上述示例推荐的约束条件。

时序约束向导分析时钟域交叉(CDC)路径的拓扑结构在异步时钟之间,并且每当这样做是安全的。异步时钟是没有已知相位关系的时钟,这种情况通常发生在它们不共享相同的主时钟或者不具有公共周期。因此,松弛异步CDC路径上的计算是不准确的,并且不能被信任。由于异步时钟之间可能存在较大的偏差,结果的定时质量可能会很高如果任何异步CDC路径被定时,则会受到影响并阻止适当的定时关闭。你负责在这些路径上添加定时异常,例如set_clock_groups,set_false_path或set_max_delay-datapath_only以完全忽略计时分析或只是忽略时钟偏斜和不确定性。此外,设计必须正确实预防亚稳态的CDC电路。

在Vivado Design Suite中,向导仅识别基于触发器的同步器同步数据和异步复位。有关此类同步器的示例,请参见Vivado《设计套件用户指南:设计分析和闭合技术》(UG906)。下图显示了推荐约束和非推荐约束的示例表。

两个表中的列都显示以下信息:

•源时钟:这是向导标识的CDC路径起点的时钟。

•目标时钟:这是向导标识的CDC路径端点的时钟。

•限制:此列显示主要的定时异常或的特征时钟关系时也不例外。

•在“推荐的约束条件”表中,向导预计约束条件为创建并显示新约束:

○aasynch(时钟组),用于可以安全地忽略两个方向上的定时的情况,在在这种情况下,会创建set_clock_groups约束

○aasynch(错误路径),当只安全地忽略一个方向上的路径时,其中创建set_false_path约束的情况

•在“不推荐的约束”表中,“定时约束”向导显示如何在最终应用时钟组或错误路径异常之前对CDC路径进行定时:

○定时-无通用主时钟

○定时-无公共时段

○sset_max_delay覆盖至少1条路径的情况下的MaxDelay DataPath-datapath_only约束和所有其他路径都被假路径约束覆盖

•端点:向导标识的CDC路径端点数。

•已同步(与ASYNC_REG):正确同步的端点数,与在所有同步器触发器上将ASYNC_REG属性设置为true。

•不带ASYNC_REG的同步器:至少有一个触发器的同步器数量没有将ASYNC_REG属性设置为true。

•未知:向导未找到同步器的CDC路径终结点的数量。

建议的异步时钟组限制

定时约束向导建议使用set_clock_groups-异步当存在以下条件时,两个时钟之间的约束:

•所有路径在两个方向都有同步器。

•set_max_delay-datapath_仅在任一方向覆盖任何路径(set_clock_groups具有更高的优先级,并覆盖任何现有的set_max_delay)。

不推荐的异步时钟组约束

定时约束向导提供了一个表,其中包含默认情况下未启用的约束因为出于以下原因之一,不推荐使用它们:

•在任一方向上,至少有一条路径缺少同步器。

•set_max_delay-datapath_only仅在任一方向覆盖至少一条路径。在早期版本的上工作时,可以决定激活这些约束中的任何一个设计,然后在完成设计时重新访问CDC路径及其约束。

CDC同步器与ASYNC_REG特性

AMD建议将所有同步器触发器的ASYNC_REG属性设置为true在合成期间通过任何逻辑优化来保持同步器单元,以及实现,并优化它们的位置以获得最佳平均无故障时间(MTBF)统计数字对于两个表中启用的任何时钟组约束(默认情况下或用户),则向导将任何丢失的ASYNC_REG属性设置为true。有关详细信息,请参阅《Vivado Design Suite属性参考指南》(UG912)关于ASYNC_REG属性。

完成CDC分析和约束

定时约束向导无法识别某些不基于的有效CDC拓扑在简单的同步器上。report_cdc命令提供了一个功能强大、更全面的观点,需要进行结构校正才能变得安全。请参阅Vivado设计套件用户指南:设计分析和闭合技术(UG906)

对于由于存在某些约束而导致向导不建议使用约束的情况set_max_delay-datapath_only,其他正常定时的CDC路径必须是单独审查并且可能被附加的错误路径约束所忽略。的创建点对点错误路径约束必须在XDC文件、Tcl控制台或退出向导后的“定时约束”窗口。

约束条件摘要

定时约束向导的最后一页总结了将要在单击“完成”时应用并保存在目标XDC文件的末尾。单击每个超链接请参阅约束的详细信息。下图显示了的一个示例“约束摘要”页面。

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值