vivado 启动实施运行

启动实施运行

您可以启动活动的实现运行,也可以选择同时启动多个运行。

启动单个实施运行

执行以下任意操作以在“设计运行”窗口中启动活动的实现运行。启动单个实现运行将为实现启动一个单独的过程。

提示:在“设计运行”窗口中选择一个运行,以启动活动运行以外的运行。

•在Flow Navigator中选择Run Implementation。

•选择流量 →  从主菜单中运行Implementation。

•从工具栏菜单中选择Run Implementation。

•在“设计运行”窗口中选择一个运行,然后从弹出菜单中选择“启动运行”。

启动多次跑步

通过在“设计管路”窗口中选择多个管路,可以同时启动多个管路跟随:

1.使用Shift键或Ctrl键选择多个管路。

注意:在中选择多个运行时,可以同时选择合成和实现运行“设计运行”窗口。Vivado IDE管理运行依赖关系,并以正确的方式启动运行顺序

2.选择启动运行打开启动运行对话框,如下图所示。

注意:您可以从弹出菜单或“设计运行”窗口工具栏中选择“启动运行”菜单

3.选择启动目录。

默认启动目录位于本地项目目录结构中。的文件实现运行存储在:<project_name>/<project_name>。runs/<run_name>。

提示:在项目目录结构之外定义任何非默认位置都会使项目不可移植,因为绝对路径会写入项目件中。

4.指定选项。

•如果要在本地计算机上启动运行,请选择在本地主机上启动运行。

•使用作业数量下拉菜单定义要使用的本地处理器数量当同时启动多个运行时。

•如果要使用远程主机启动,请选择Launch runs on remote hosts(仅限Linux)一个或多个作业。

•使用配置主机按钮配置远程主机。有关更多信息,请参阅附录A:使用远程主机和计算集群。

•如果要使用LSF(负载共享功能),请选择Launch runs using LSF(仅限Linux)bsub命令启动一个或多个作业。使用Configure LSF(配置LSF)按钮设置bsub命令选项,并测试您的LSF连接。

提示:LSF,即负载共享设施,是一个用于提交、调度、执行、监视、,以及控制集群中跨计算服务器的批处理作业的工作负载。

•如果要导出并创建运行目录,请选择“仅生成脚本”选项和运行脚本,但不希望此时启动运行脚本。脚本可以运行稍后在Vivado IDE工具之外。将进程移到后台当Vivado IDE启动运行合成或实现的过程时,它读取设计文件和约束文件,为跑步做准备。“启动运行”对话框,显示在下图中,可以将此准备移动到后台。

将这个过程放在后台可以释放Vivado IDE来执行其他功能同时完成后台任务。其他功能可以包括诸如查看报告和打开设计文件。例如,您可以利用这段时间回顾以前的运行或检查报告。

小心!当您将此进程置于后台时,Tcl控制台将被阻止。你不能执行Tcl命令,或执行需要Tcl命令的任务,例如切换到另一个打开的设计

分步骤运行实施

Vivado的实施包括许多较小的流程,例如:

•Opt设计(Opt_Design)

•电源选择设计(Power_Opt_Design)(可选)

•场所设计(场所设计)

•放置后电源选择设计(Power_Opt_Design)(可选)

•放置后物理选项设计(Phys_Opt_Design)(可选)

•路线设计(Route_Design)

•路由后物理选择设计(Phys_Opt_Design)(可选)

•写入比特流(Write_Bitstream)(除Versal外的所有设备)

•写入设备映像(Write_Device_Image)(Versal设备)

Vivado工具允许您将实现作为一系列步骤而不是单个过程来运行。

如何分步骤运行实施

要分步骤运行实施,请执行以下操作:

1.右键单击“设计运行”窗口中的运行,然后选择“启动下一步:<步骤>”或“启动”从下图所示的弹出菜单中选择步骤To。有效的<Step>值取决于在“运行设置”中启用了哪些运行步骤。这个在实现运行中可用的步骤有:

•Opt设计:优化逻辑设计,并将其安装到目标AMD设备上。

•功率选择设计:优化设计元素,以减少的功率需求实现的设备。

•放置设计:将设计放置到目标AMD设备上。

•放置后功率选择设计:额外优化,以降低放置后的功率。

•放置后Phys Opt设计:对负松弛执行定时驱动优化设计的路径。

•路由设计:将设计路由到目标AMD设备上。

•路由后Phys Opt设计:使用实际路由优化逻辑、布局和路由延迟。

•写入比特流(除Versal设备外的所有设备):为AMD设备生成比特流配置虽然从技术上讲不是实现运行的一部分,但比特流生成可作为增量步骤使用。

•写入设备映像(Versal设备):为生成可编程设备映像对Versal设备进行编程。

2.根据需要重复启动下一步:<步骤>或启动步骤到以完成设计实施

3.要从已完成的步骤进行备份,请从“设计”中选择“重置为上一步骤:<步骤>”运行窗口弹出菜单。选择Reset to Previous Step(重置到上一步)将选定的跑步从当前状态重置为上一步增量步骤。这允许您:

•跑步时向后退一步。

•进行任何必要的更改。

•再次向前迈出一步,逐步完成跑步。

关于实施命令

AMD Vivado设计套件包括许多功能,用于管理和简化基于项目的设计的实施过程。这些功能包括

手动完成实施过程。有关详细信息,请参见在项目模式下运行实现。非基于项目的设计必须在实施的每个步骤中手动进行使用Tcl命令或Tcl脚本进行处理。

注意:有关Tcl命令的更多信息,请参阅Vivado Design Suite Tcl命令参考指南(UG835),或键入<command>-help。有关详细信息,请参见在非项目模式下运行实现。

实施子流程

在项目模式中,实现命令以固定的顺序运行。在非项目模式下命令可以按类似的顺序运行,但也可以重复、迭代和与项目模式中的顺序不同。

重要!实现命令是可重入的。

实现命令是可重入的,这意味着当一个实现命令在非项目模式下调用,它读取内存中的设计,执行任务,并写入由此产生的设计回到内存中。这在非项目中运行时提供了更大的灵活性模式示例:

•opt_design后接opt_design-remap重映射操作发生在opt_design结果上。

•对包含一些放置单元格的设计调用的place_design现有单元格位置用作place_design的起点。

•route_design调用了包含一些路由的设计现有布线用作route_design的起点。

•route_design调用了具有未放置单元格的设计路由失败,因为必须先放置单元格。

•opt_design调用完全放置和路由的设计逻辑优化可能会优化逻辑网表,创建未放置的新单元,以及未铺设的新网。可能需要重新运行放置和布线才能完成实施在Vivado实施过程中进行设计,无论是在项目模式下还是在非项目模式下,都包括几个子过程:

•开放式综合设计:结合网表、设计约束和AMD目标部分数据,以构建内存中的驱动设计实现。

•Opt设计:优化逻辑设计,使其更容易安装到目标AMD设备上。

•功率选择设计(可选):优化设计元素,以减少的功率需求目标AMD设备。

•放置设计:将设计放置到目标AMD设备上。

•放置后功率选择设计(可选):额外优化,以减少放置后的功率安置

•放置后Phys Opt设计(可选):使用估计的时间优化逻辑和放置基于位置。包括复制高扇出驱动程序。

•路由设计:将设计路由到目标AMD设备上。

•路由后Phys Opt设计:使用实际路由优化逻辑、布局和路由延迟(可选)。

•写入比特流:为AMD设备配置生成比特流(Versal设备除外)。

•写入设备映像:生成可编程设备映像,用于对Versal进行编程装置

注意:虽然从技术上讲不是实现运行的一部分,但写入比特流和写入设备映像可作为单独的步骤使用。为了更好地了解实施过程中的各个步骤每个步骤的细节以及相关的Tcl命令都在本章中进行了说明。这个下表提供了子进程及其相关Tcl命令的列表。

有关Tcl报告命令及其选项的完整描述,请参阅Vivado《设计套件Tcl命令参考指南》(UG835)。

  • 25
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值