Vivado ECO Flow

Vivado ECO流量
重要!ECOs只在设计检查点上工作。ECO布局仅在设计后可用检查点已在Vivado IDE中打开。
工程变更单(ECOs)是对实施后网表的修改意图在对原始设计影响最小的情况下实施更改。Vivado提供ECO流,允许您修改设计检查点、实现更改、运行报告并生成编程文件。
ECO流的常见用例有:

•在设计中修改ILA和/或VIO核心的调试探针。
•将内部网络路由到封装引脚以进行外部探测。
•评估假设情景(改进时间安排、修复逻辑错误等)。
ECO流程的优点是利用增量实现快速周转Vivado工具的位置和路线特征。
Vivado IDE提供了一个预定义的布局来支持ECO流。访问ECO布局,选择布局 →  生态的。
ECO Navigator
ECO Navigator可访问完成ECO所需的命令。
Scratch Pad
暂存板跟踪“单元”、“引脚”、“端口”和“网”的网表更改以及放置和路由状态。

ECO流程图
下图显示了一个典型的ECO流程。您打开了以前实现的设计。之后修改网表时,如果设计未完全放置,则运行增量放置。否则,您可以直接跳到增量路由。之后,您可以将更改保存到新的检查点,编写新的编程和调试探测文件,并打开硬件管理器为您的设备编程。如果您对自己的更改感到满意,您可以将其纳入融入您的原始设计。否则,您可以从ECO流的开始处开始,直到设计正在按预期进行。

提示:当您在项目模式下重新运行实现时,上一个运行目录中的结果将是删除。将ECO检查点保存到新目录中,或为您的随后进行编译以保留对ECO检查点的更改。
ECO Navigator的使用
ECO Navigator可访问完成ECO所需的所有命令。这个ECO Navigator分为四个部分:编辑、运行、报告和编程。
编辑节
ECO Navigator的编辑部分(如下图所示)提供了对所有修改网表所需的命令。

•创建网络:打开“创建网络”对话框,该对话框允许您在电流负载设计。可以从设计的顶层分层创建网,或者在层次结构的任何级别内,通过指定层次结构的网络名称。总线网络可以是使用负值和正值通过增加或减少总线索引创建。到创建一个总线网,启用“创建总线”并指定开始索引值和结束索引值。如果你选择了一个引脚或端口,你可以让新创建的网络自动连接到它们通过选择连接选定的引脚和端口复选框。

•创建单元格:打开“创建单元格”对话框,可将单元格添加到的网表当前加载的设计。您可以将新的单元实例添加到设计的顶层,或者在设计的任何模块内分层。实例可以引用中的现有单元格库或设计源文件,或者可以添加引用以下单元格的黑盒实例尚未创建。如果创建了LUT单元,则可以在中指定LUT方程选择“指定LUT公式”对话框。

•创建端口:打开“创建端口”对话框,您可以在其中创建端口并指定诸如方向、宽度、单端或差分之类的参数。新端口添加在设计层次结构的顶层。可以使用递增或递减总线创建总线端口索引,使用负值和正值。您还可以指定I/O标准、拉式、,和ODT类型。指定位置后,端口将分配给封装引脚。

•创建接点:打开“创建接点”对话框,可用于添加单个接点或总线接点到目前的设计。可以定义端号的属性,如方向和总线宽度,如下所示以及引脚名称。您可以创建总线引脚,增加或减少总线索引,使用负值和正值。必须在现有单元实例上创建接点,否则被认为是一个顶级pin,应该使用create_port命令创建。如果未指定单元的实例名称,无法创建接点。

•连接网络:所选引脚或端口连接到所选网络。如果没有选择网络,将打开“连接网络”对话框,使用该对话框可以指定要连接到的网络设计中选定的引脚或端口。该窗口显示当前所选网络的列表可以通过在搜索框中键入网络名称来动态过滤的层次级别。这个所选网络将通过添加引脚和分层网络,以完成连接。

•断开网络连接:断开所选网络、引脚、端口或单元与当前网络的连接设计如果选择了一个单元,则连接到该单元的所有网络都将断开连接。
•更换调试探针:如果调试核心具有之前已插入到设计中。“替换调试探测器”对话框包含有关在您的设计中使用ILA和/或VIO核心探测的网络的信息。你可以通过单击网络旁边的图标来修改连接到调试探测器的网络Probe列中的名称。这将打开“选择网络”对话框,您可以在其中选择连接到调试探针的新网络。

•放置单元格:将所选单元格放置到所选设备资源上。
•取消放置单元格:将选定单元格从其当前放置位置取消放置。
•删除对象:从当前设计中删除选定的对象。

  • 25
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值