将具有传统IP的设计迁移到Vivado设计套件

将具有传统IP的设计迁移到Vivado设计套件
概述
AMD Vivado™设计套件允许您从CORE Generator™工具迁移IP设计。你可以
还可以将IP迁移到Vivado Design Suite的最新版本。
重要!Vivado集成开发环境(IDE)要求IP、实例化和
端口名都是小写的。将任何大写或大小写混合的文件名重命名为小写。
您可以在Vivado Design Suite中重用来自以下来源的IP:
•使用CORE Generator IP的ISE™设计套件项目
•使用CORE Generator IP的PlanAhead™工具项目
•来自CORE Generator项目的IP
•来自Vivado IDE ADD IP选项的IP(.xci文件)
•使用创建和打包新IP向导从嵌入式开发工具包(EDK)中获取IP。
重要!在将您的设计迁移到Vivado design Suite之前,请确保您的设计使用
可用IP的最新版本。
使用IP迁移项目时(既可以是旧的Vivado项目,也可以是ISE Design Suite xise项目)
或者将外部存储的IP(从Core Generator或Vivado)添加到Vivado中,IP可以是
处于以下状态之一:
•IP是最新的。IP可以重新定制,并可以生成输出产品。
•IP被锁定,因为在目录中找不到版本并且存在升级路径
可获得的如果您不希望升级,则有两种可能的情况:
○ 如果在添加/导入时存在输出产品,则它们是可用的,并且可以
Vivado工具使用的。
○ 您不能重新自定义或生成任何其他输出产品。如果输出
合成(RTL)或实现(NGC)所需的产品存在,您可以
继续

注:行为模拟需要模拟目标。
○ 如果输出产品不存在,则无法在Vivado Design中重新生成它们
一套您必须返回到创建IP的软件版本
并生成它们,或者因为有升级路径而升级到最新版本。
•IP被锁定,因为在目录中找不到版本并且没有升级路径
可获得的有两种可能的情况:
○ 如果在添加/导入时存在输出产品,则它们是可用的,并且可以
Vivado设计套件使用。您不能重新自定义或生成任何其他
输出产品。如果合成(RTL)或实现所需的输出产品
(网表)存在,则可以继续。
注:行为模拟需要模拟目标。
○ 如果输出产品不存在,则无法重新生成它们。要么回到
用于创建IP并生成它们或重新创建IP的软件版本
使用Vivado Design Suite中当前可用的IP。这可能需要接口和
设计变更。
建议:使用IP时,将IP保存在项目之外的远程位置。
这使得IP更易于移植和维护。自定义IP时,生成输出
产品。这将是一个用于CORE发电机和合成、模拟、测试台的NGC,
例如,以及Vivado可能的其他产品。这允许您拥有一个可用于合成的可用IP
和/或实现,即使IP被删除或IP需要Vivado工具中的更新
然后才能进行重新定制或生成。
将CORE Generator IP迁移到Vivado
设计套件
分两步将CORE Generator IP迁移到Vivado Design Suite IP:
1.使用CORE Generator IP迁移设计。
2.将IP迁移到最新版本。
步骤1:使用CORE Generator IP进行迁移设计
来源
您可以将具有IP的项目迁移到Vivado Design Suite。为此,您可以执行以下操作之一
下列的
1.将ISE设计套件项目导入Vivado设计套件项目(请参阅导入XISE
项目导航器项目)。

2.将PlanAhead工具项目转换为Vivado Design Suite项目(请参阅转换PlanAhead
工具项目)。
3.将core Generator项目中的IP核心源文件(.xco文件)添加到Vivado Design
套房项目。
步骤2:将IP迁移到最新版本
在设计中使用最新版本的IP。要迁移IP,请按如下方式更新当前IP:
1.在“源”窗口中,单击“IP源”选项卡。
2.右键单击IP核心源。
3.从右键单击菜单中选择升级IP。
注意:将IP升级到最新版本后,您可以重新自定义IP。
重要!对于IP目录中不再可用的IP,可以继续重用现有IP
具有Vivado合成和实现的网表和源(如NGC网表或模拟文件)
流量。
建议:您也可以使用报告>报告IP状态选项来获取所有IP的报告
在您的项目中提供升级建议和快速访问IP更改日志。审查后
在IP状态报告中,您可以在报告中选择要升级的IP。
视频:有关更多信息,请参阅Vivado Design Suite QuickTake视频:管理Vivado IP
版本升级。
将EDK IP迁移到Vivado Design Suite
您可以将XPS处理器核心或Pcore转换为Vivado Design Suite本机IP,以便在中使用
IP集成商。
要执行此操作,必须手动运行“工具” → 创建并打包新IP。此过程创建
IP-XACT定义文件component.xml,使用Package IP向导。你可以完成这个
通过管理IP流,直接与Pcore合作,或在您的设计项目中。
Vivado设计的特点差异
Suite IP和ISE CORE Generator IP
与中提供的IP相比,Vivado Design Suite提供的IP具有以下特点
ISE设计套件CORE生成器工具:
•可在单个统一IP目录中访问。
•将新的Xilinx设计约束(XDC文件)用于物理和时间约束
自动应用。
•生成Xilinx约束接口(XCI)文件、各种输出产品,默认情况下生成
合成设计检查点(DCP文件)。
○ DCP包含IP的网表,当引用XCI时,网表任意
在实现过程中使用为IP生成的约束。
○ DCP可以直接使用,类似于NGC,因为它包含网表和
已解决约束,但不建议使用。
○ 如果IP提供BMM、ELF、Tcl脚本或某些其他文件,则它们不包含在
DCP。使用XCI可以确保使用所有输出产品,包括合成的网表。
•将每个IP(XCI文件)放在一个单独的目录中(请参阅托管IP的文档
Vivado设计套件中的流程和项目内流程用户指南:使用IP(UG896)进行设计。
•不再使用XilinxCoreLib进行模拟(除非使用较旧的IP),因为每个IP都提供了
将自己的模拟源作为输出产品。

  • 9
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值