vivado 常见引脚约束文件的写法--通配符及bit文件压缩

#Clock cycle period constraint
create_clock -period 10.000 -name sysclk [get_ports diff_clock_clk_p]

set_property PACKAGE_PIN AH22 [get_ports diff_clock_clk_p]
set_property IOSTANDARD DIFF_SSTL12 [get_ports diff_clock_clk_p

set_property IOSTANDARD LVCMOS18 [get_ports {LED_o[*]}]
set_property PACKAGE_PIN A21 [get_ports {LED_o[1]}]
set_property PACKAGE_PIN A18 [get_ports {LED_o[0]}]

set_property IOSTANDARD LVCMOS18 [get_ports RSTn_i]
set_property PACKAGE_PIN K24 [get_ports RSTn_i]

#bit compress
set_property BITSTREAM.GENERAL.COMPRESS true [current_design]
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值