UVM搭建学习之四:容器类uvm_env

1、定义

在这个容器类中实例化 driver monitor reference model scoreboard等,在UVM中,这个容器类称为uvm_env
2、举例:
class my_env extends uvm_env;
     my_driver drv;
     function new(string name = "my_env", uvm_component parent);
     super.new(name, parent);
     endfunction
    virtual function void build_phase(uvm_phase phase);
     super.build_phase(phase);
     drv = my_driver::type_id::create("drv", this);
     endfunction
    `uvm_component_utils(my_env)
endclass
3、说明     
     所有的 env 应该派生自 uvm_env ,且与 my_driver 一样,容器类在仿真中也是一直存在的,使用 uvm_component_utils宏来实现factory 的注册。     
      只有使用 factory机制注册过的类才能使用这种方式实例化;只有使 用这种方式实例化的实例,才能使用后文要讲述的 factory机制中最为强大的重载功能。验证平台中的组件在实例化时都应该使用 type_name :: type_id :: create 的方式。
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值