在UVM中添加env

在验证平台中加入reference model、driver、scoreboard等组件后,如何在验证平台中对它们进行实例化呢?显然使用factory机制注册后使用run_test(),已经不行啦,run_test最多只能实例化一个组件。因此需要引入一个容器类,这个容器类可以包含所有的组件,通过对容器类的实例,就可以实现对所有组件的实例化。这个容器类称为uvm_env。所有的env都应该派生于uvm_env。
并且与my_driver一样都是在仿真器中一直存在的,使用uvm_component_utils()宏注册实现factory机制。
一个env如下:

`ifndef MY_ENV__SV
`define MY_ENV_SV

class my_env extends uvm_env;
    my_driver drv;
    my_monitor i_mon;
    my_monitor o_mon;
    function new(string name = "my_env", uvm_component parent);//构造器
        super.new(name, parent);
    endfunction
    virtual function void build_phase(uvm_phase phase);//在build_phase中对包含的组件进行实例化
        super.build_phase(phase);
        drv = my_driver::type_id::create("drv", this);  //不用使用new(),这种方式是factory机制带来的便利,只有这种方式才能使用factory的重载机制
        i_mon = my_monitor::type_id::create("i_mon", this);//this代指 my_env
        o_mon = my_monitor::type_id::create("o_mon", this);
    endfunction
`uvm_component_utils(my_env)
endclass

`endif
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值