孩子都能学会的FPGA:第二十八课——用FPGA实现最近最少使用(LRU)算法

(原创声明:该文是作者的原创,面向对象是FPGA入门者,后续会有进阶的高级教程。宗旨是让每个想做FPGA的人轻松入门作者不光让大家知其然,还要让大家知其所以然!每个工程作者都搭建了全自动化的仿真环境,只需要双击top_tb.bat文件就可以完成整个的仿真(前提是安装了modelsim),降低了初学者的门槛。如需整个工程请留言(WX:Blue23Light),不收任何费用,但是仅供参考,不建议大家获得资料后从事一些商业活动!

LRU算法用于cache管理或任何其他需要对访问权进行周期更新的场合。基于时间和空间考虑,cache中存储着近期将会用到的数据项。当cache被用满后,如果有新的数据项到来,需要将某个现有的数据项从cache中清除,为新进入者提供空间。此时通常使用的算法被称为LRU(Least Recently Used,近期最少使用),通过LRU算法可以找到最久未被使用过的数据项,cache将该数据项清除,并将新的数据项写入此处。

比如我们在图书馆借书,图书馆那么多好书,但是一次只能借10本书,所以你只能借最近可能会用到的书,别的书虽然也很好,可以后续再去借。可以把看完的书还过去再去借新书,有些书虽然没有看完,或者根据就没有看,而且最近也不打算看了,也可以还过去,这样就可以借更多想看的书了。LRU算法的思路基本就是这样的,当然这个算法如何使用不是本文的重点,本文是来讲这个算法如果通过FPGA来实现。

LRU算法有多种实现方法,本文FPGA实现LRU采用的是矩阵法。假设有一个表,可存储4个表项,当前表项为A、B、C和D。我们的目标是确定哪一个是最久没有被访问过的,具体步骤如下:

1. 构建一个4x4的存储单元矩阵,这儿可以采用寄存器,RAM,或者数组。

2. 将所有存储单元初始化为零。

3. 只要有一个表项被访问,其对应的一行全部置为1,其对应列全部置为0

4. 只要某个表项被访问,重复上一步操作。

5. 全零的一行对应的表项是近期最少使用者,是要被新的表项替代的对象。

假定访问顺序为A、D、C、A、B,在此情形下,D是最近使用最少的表项,它应该被替换掉。下面用4x4矩阵演示的上述算法。

LRU算法的FPGA实现其实非常简单,因为用到了矩阵,本节其实是主要来讲解一下矩阵如何初始化和赋值,还有就是generate语句如何使用。

假设cache内可以存储8个数据,lru模块输入的是数据的使能信号和数据的标号值,输出的是最少使用数据的标号值,这个值可以从cache中删除,然后让新的数值进入cache

fpga代码里定义了一个二维的数值matrix,维数是8x8,matrix的每个一维数据代表矩阵的一行,比如matrix[0]代表第0行,这样利用了generate语句,就可以对矩阵generate的每一行的每一列同时进行运算控制。在generate快里面使用了for语句,简化了代码的设计。generate语句相关与把8x8=64个always语句合并在了一起,每个always语句完成矩阵matrix一位的运算和控制。

找到全零的行,即为近期最少使用者,将其标号输出即可。

新建仿真文件,产生0-7间的随机数做为新数据的标号进行输入,双击sim目录下的top_tb.bat文件,完成自动化仿真。

modelsim的仿真结果如下所示,输出lru_index可以当成cache清除数据的依据。

  • 41
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值