博客摘录「 UVM的构造函数new的个人理解」2023年4月25日

uvm_object里的function new,只有一个参数叫nameuvm_component里的function new,有两个参数,name和parent上面两句的意思,是因为UVM树形层次结构(只有component才有此层次结构)导致的。name参数的意义就是实例化的名称。parent参数的意义就是UVM树形的上一层次,通常都是this;这表示当前层次下实例化了一个component组件。换句话说,正是由于function new里多了一个parent参数,才有UVM树形层次结构的实现。由uvm_component继承的类,其实例化代码写法均类似

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值