SVA学习笔记(1)——property基本语法及巧用define与property

SVA中property基本语法及巧用define与property

一、SVA中property语法

1. SVA概述:

SVA(SystemVerilog
Assertions)是一种用于验证硬件设计模型的形式化规范语言。它允许设计工程师Verilog代码中添加属性(assertion)来描述设计的行为和性质,并使用模型检查工具来验证这些属性是否满足。

2. property的基本语法:

  1. 声明属性:

属性声明通过关键字property进行,例如:

property p1;
  // 嵌入具体的属性规则
endproperty
  1. 规则表达式:

属性内部使用规则表达式来描述设计模型的性质。规则表达式可以包含逻辑量词(always,eventually等)、时序运算符(next,until等)和逻辑运算符(and,or等)。

  1. 时序性质:

SVA支持对设计模型的时序性质验证。可以使用时钟、触发器、寄存器等时序元素进行属性的描述。

  1. SVA简单举例:

a.检查寄存器设置错误:属性p1检查一个寄存器的值是否在某个状态设置错误的范围内。

property p1;
  reg [7:0] value;
  // 寄存器value在09之间时,不能设置为5
  @(posedge clk) disable iff (reset)
    value >= 0 && value <= 9 |
  • 6
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: SVA (SystemVerilog Assertions)是一种用于验证硬件设计的语言扩展,其中包括属性(property)的定义和使用。属性是一种描述设计行为的语句,可以用于检查设计是否满足特定的规范或约束。 在SVA中,属性可以使用蕴含(implication)结构来定义。蕴含结构由先行算子(antecedent)和后续算子(consequent)组成,先行算子是约束条件,只有约束条件成功时,后续算子才会被计算。蕴含结构可以分为交叠蕴含和非交叠蕴含两种形式。 交叠蕴含使用符号"|->"表示,表示如果先行算子匹配,在固定个时钟周期后计算后续算子表达式。例如,在每个时钟上升沿,检查信号a是否为高电平,如果a为高,那么b在接下来两个时钟沿后也必须为高。\[2\] 非交叠蕴含使用符号"|=>"表示,表示如果先行算子匹配,在下一个时钟周期计算后续算子表达式。例如,在每个时钟上升沿,检查信号a是否为高电平,如果a为高,那么b在下一个时钟沿也必须为高。\[3\] 通过使用蕴含结构,我们可以在属性定义中指定约束条件和期望的行为,以便进行设计验证。 #### 引用[.reference_title] - *1* *2* *3* [SVA介绍----蕴含操作符](https://blog.csdn.net/qq_39556143/article/details/94591578)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值