SVA学习笔记(1)——property基本语法及巧用define与property

本文详细介绍了SystemVerilog Assertions(SVA)中的property基本语法,包括SVA概述和规则表达式的使用。同时探讨了property与宏定义的关系,强调了property在验证硬件设计中的重要性,并提供了巧用define简化property断言的实例。
摘要由CSDN通过智能技术生成

SVA中property基本语法及巧用define与property

一、SVA中property语法

1. SVA概述:

SVA(SystemVerilog
Assertions)是一种用于验证硬件设计模型的形式化规范语言。它允许设计工程师Verilog代码中添加属性(assertion)来描述设计的行为和性质,并使用模型检查工具来验证这些属性是否满足。

2. property的基本语法:

  1. 声明属性:

属性声明通过关键字property进行,例如:

property p1;
  // 嵌入具体的属性规则
endproperty
  1. 规则表达式:

属性内部使用规则表达式来描述设计模型的性质。规则表达式可以包含逻辑量词(always,eventually等)、时序运算符(next,until等)和逻辑运算符(and,or等)。

  1. 时序性质:

SVA支持对设计模型的时序性质验证。可以使用时钟、触发器、寄存器等时序元素进行属性的描述。

  1. SVA简单举例:

a.检查寄存器设置错误:属性p1检查一个寄存器的值是否在某个状态设置错误的范围内。

property p1;
  reg [7:0] value;
  // 寄存器value在09之间时,不能设置为5
  @(posedge clk) disable iff (reset)
    value >= 0 && value <= 9 |
  • 6
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值