2021-11-11

一、硬件环境
二、源码
1、只有一个文件tb_top.sv

`timescale		1ns/1ns
`include "uvm_pkg.sv"
module	tb_top;
   import uvm_pkg::*; 
   `include "uvm_macros.svh"
   initial begin 
     `uvm_info ("info1","Hello World!", UVM_LOW) 
   end 

endmodule

2、准备脚本文件run.do

vdel -all -lib work
set UVM_DPI_HOME  C:/modeltech64_10.5/uvm-1.2/win64
vlib work

vlog	"./src/*.sv"
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.tb_top
 
run 100ns

3、准备bat文件

set bin_path=C:\\modeltech64_10.5\\win64

C:\modeltech64_10.5\win64
call %bin_path%/vsim   -do "do {run.do}" -l simulate.log
if "%errorlevel%"=="1" goto END
if "%errorlevel%"=="0" goto SUCCESS
:END
exit 1
:SUCCESS
exit 0

执行效果
在这里插入图片描述
三、工程文件
链接

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值