UVM 不同package下面有相同class name的解决办法

先看下面这个package:

 我们把some_class打印出来:

 我们从打印结果上其实是看不出这个same_class是属于哪个package。

        假如有另外一个package,里面有个相同名字的same_class:

 sim的时候,因为在factory注册了相同的class,会有如下waring:

 解决办法:注册的时候带上package:

 这样做之后就没有有warning了,并且打印class的时候就能看到package信息:

 总结:

        1. 尽量避免出现相同class name的情况。有些公司要求class名字前面带上package name前缀

        2.避免不了的时候注册的时候名字加上package name。

reference:

Packages, Class Names and UVM | Verification Gentleman Blog

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值