UVM(二)

UVM库(在类库中使用继承和封装)

  • TLM(TLM是组件之间通信的标准)
  • structural Elements(不同方法学的通用类:组件、信息系统、仿真阶段等等)
  • methodology(用户实现平台重用的主要接口,用户可以在不改变代码的情况下更改平台配置、替换平台组件、更换不同的激励甚至仿真行为)

在这里插入图片描述
UVM package 包含三个主要的类:

  • uvm_component(构建UVM testbench 层次结构最基本的类)
  • uvm_object(作为UVM的一种数据结构,可作为配置对象来配置测试平台)
  • uvm_transaction(用来产生激励和收集响应)

在这里插入图片描述
在这里插入图片描述
UVM结构树:
在这里插入图片描述

  • 2
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值