[转]vivado硬件调试——mark_debug

本文介绍了如何使用Vivado进行硬件调试,特别是通过插入ILA核来观察信号。通过设置`mark_debug`属性标记需要观测的信号,然后配置ILA核参数并连接到目标信号。调试流程包括生成bitstream、下载到硬件并使用Vivado硬件逻辑分析仪观察波形。文章也提及了可能遇到的问题及解决办法。
摘要由CSDN通过智能技术生成

最近两个月开始用Vivado做项目,之前一直用ISE开发,个人觉得ISE方便好用,而Vivado编译又慢,还占内存,打开一个工程就需要好半天,可视化界面感觉也没什么用处,不如模块化的代码来的简单,而且还有一些bug。无奈xilinx公司不再开发ISE,到14.7就结束了,以后的芯片只能用Vivado做设计了,只能用它了,现在已经更新到了2014.4版本,我现在用的是2013.4版本,开发板是zedboard。

用Vivado进行硬件调试,就是要插入ila核,即“集成逻辑分析仪”,然后将想要引出来观察的信号连到这个核的probe上。

首先第一步,需要把想要观测的信号标记出来,即mark_debug,有两种mark_debug的方法,我用verilog写了一个简单的流水灯程序,只有几行代码,如下:

  1. module main(
  2. input            clk,
  3. input            rst,
  4. output reg [7:0] led
  5.     );
  6. (*mark_debug = "true"*)reg [23:0] counter;
  7. always @(posedge clk) begin
  8. if(rst) begin
  9. counter <= 0;
  10. led <= 8'b00000001;
  11. end
  12. else counter <= counter + 1;
  13. if (counter == 24'hffffff)
  14. led <= {led[6:0],led[7]};
  15. end
  16.  
  17. endmodule
  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值