vivado 使用“Set Up Debug”Wizard 来插入调试核

使用“ Set Up Debug Wizard 来插入调试核
标记要调试的信号线 (net) 下一步是将其分配到调试核。 Vivado Design Suite 提供了易于使用的“设置调试 (Set up
Debug) Wizard 以帮助逐步指导您完成自动创建调试核并将调试信号线分配至核的输入的整个过程。
要使用“ Set up Debug Wizard 来插入调试核 请执行以下操作
1. 可选 使用未分配的信号线列表来选择一组信号线以供调试 或者直接选择信号线。
2. Vivado Design Suite 主菜单中选择“ Tools ” → “ Set up Debug 或者单击 Flow Navigator 的“已综合的设
(Synthesized Design) ”部分下的“ Set up Debug ”。
3. 单击“ Next ”以转至“指定要调试的信号线 (Specify Nets to Debug) ”面板 请参阅下图
4. 可选 单击“ Find Nets to Add ”以在表中添加更多信号线 或者移除现有信号线。您还可右键单击调试信号线
并选择“ Remove Nets ”以从表中移除信号线。
重要提示 您还可在“网表 (Netlist) ”或其它窗口中选中信号线 然后将其拖到“要调试的信号线 (Nets to
Debug) ”列表中。
5. 右键单击调试信号线 然后选中“ Select Clock Domain ”以更改将用于对信号线上的值进行采样的时钟域。
注释 Set up Debug Wizard 会尝试搜索路径中的同步元件 以便为调试信号线自动选择相应的时钟域。“选
择时钟域 (Select Clock Domain) ”对话框可用于按需修改此选择 但请注意 表中存在的每个时钟域都会生成 1
独立的 ILA 核实例。
提示 请参阅《 UltraFast 设计方法指南 适用于赛灵思 FPGA SoC ( UG949 ) 中的 ILA 核和时序考虑
因素 以获取有助于最大限度降低 ILA 核的时序影响的技巧。
6. 对所选调试信号线满意后 请单击“ Next ”。
注释 Set up Debug Wizard 会为每个时钟域插入 1 ILA 核。为调试所选的信号线将自动分配到插入的 ILA
核的探测端口。最后一个 Wizard 屏幕会显示核创建汇总信息 其中包括找到的时钟数以及要创建和 / 或移除的 ILA
核数。
7. 如果要启用高级触发器模式或基本采集模式 请使用对应的复选框。单击“ Next 移至最后一个面板。
注释 如需了解有关在 Vivado 硬件管理器中使用高级触发器模式和基本采集模式功能的更多详细信息 请参阅
“在硬件中调试逻辑设计”。
8. 如果您对结果感到满意 请单击“ Finish ”以将 ILA 核插入已综合的设计网表并在其中连接这些 ILA 核。
9. 配置 ILA 核常规选项 例如 ILA 数据深度 (C_DATA_DEPTH) 、输入流水线阶段数 (C_INPUT_PIPE_STAGES) 、启
用采集控制功能 (C_EN_STRG_QUAL) 以及启用高级触发器功能 (C_ADV_TRIGGER) 。请参阅“在调试核上修改属
性”以获取有关这些选项的描述。
10. 现在 调试信号线已分配到 ILA 调试核 如下图所示。
使用调试窗口来添加和自定义调试核
相比于“设置调试 (Set up Debug) Wizard “调试 (Debug) ”窗口中的“调试核 (Debug Cores) ”选项卡可对 ILA
Debug Hub 核插入提供更细化的控制。此窗口中提供的控制措施支持创建核、删除核、连接调试信号线和更改核参
数。
Debug ”窗口的“ Debug Cores ”选项卡
• 显示连接到 Debug Hub ( dbg_hub ) 核的调试核列表。
• 在窗口底部保持显示未分配的调试信号线列表。
您可使用弹出菜单或窗口顶部的工具栏按钮来操纵调试核与端口。
创建和移除调试核
要在“调试 (Debug) ”窗口中创建调试核 请单击“ Create Debug Core ”。通过使用此接口即可更改父实例、调试核
名称以及为核设置参数。要移除现有调试核 请在“ Debug ”窗口中右键单击该核 然后单击“ Delete ”。请参阅“在
调试核上修改属性”以获取“创建调试核 (Create Debug Core) ”对话框中的 ILA 核选项的描述。
添加、移除和自定义调试核端口
除了添加和移除调试核之外 您也可以添加、移除和自定义每个调试核的端口以满足自己的调试需求。要添加新的调试
端口 请执行以下操作
1. 在“ Debug ”窗口中选中调试核。
2. 单击“ Create Debug Port ”以打开对话框。
3. 选择或输入端口宽度
4. 单击“ OK ”。
5. 要移除调试端口 请首先在“ Debug ”窗口中选中核上的端口 然后单击“ Delete ”。
在信号线与调试核之间建立连接和断开连接
您可在“原理图 (Schematic) ”窗口或“网表 (Netlist) ”窗口上选中信号线和总线 也称为总线信号线 并将其拖放到调
试核端口上。这样即可根据需要扩展调试端口以适应所选信号线。您还可右键单击任意信号线或总线 然后选中
Assign to Debug Port ”。
要断开信号线与调试核端口之间的连接 请选中已连接到调试核端口的信号线 然后单击“ Disconnect Net ”。
在调试核上修改属性
每个调试核都具有可供修改的属性 您可修改这些属性以自定义其行为。要了解如何在 debug_core_hub 调试核上更
改属性 请参阅“更改 Debug Hub 核的 BSCAN 用户扫描链”。
您还可在 ILA 调试核上更改属性。例如 要更改 ILA 调试核采集的样本数量 请执行以下操作
1. 在“ Debug ”窗口中 选中目标 ILA 例如 u_ila_0
2. 在“ Cell Properties ”窗口中 选中“ Debug Core Options ”视图。
3. 使用 C_DATA_DEPTH 下拉列表 选中期望采集的样本数量。
在下表中可找到所有 ILA 核属性的完整描述。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值