Popcount3

这篇博客介绍了如何利用Verilog硬件描述语言编写一个模块,该模块接收二进制输入并计算其中1的个数。通过for循环迭代输入数据的每一位,累加遇到的1,最终将计数值输出。这种方法适用于数字逻辑设计和FPGA/CPLD开发。
摘要由CSDN通过智能技术生成

计算数据中1的个数,

可以通过for循环,当遇到位的数字位是1时把它加起来。

赋值时要先给定初值,可以通过$bits()函数来获取输入数据的位数,以此来确定循环次数

module top_module( 
    input [2:0] in,
    output [1:0] out );
    integer i = 0;
    always@(*)
        begin
          out = 0;

            for(i = 0; i< $bits(in); i = i+1)
            out = out + in[i];
        end
endmodule

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

eachanm

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值