quartus保姆级教学

quartus是altera(intel前身)公司的FPGA开发设计软件,自我感觉版本越低编译速度更快(可能是老版本没有集成那么多功能,体量小),版本过老也会有操作界面大相径庭的情况,因此选用13.0说明。

quartus保姆级教学

点击想要看的部分直接进

0.quartus13.0的安装

1.quartus新建工程

(61条消息) quartus 2新建工程(基于quartus 13.0)_正经工作是摸鱼的博客-CSDN博客https://blog.csdn.net/ed25137667/article/details/130436547?spm=1001.2014.3001.5502

2.quartus基本使用操作

(61条消息) QUARTUS 2 基本操作使用(quartus13.0)_正经工作是摸鱼的博客-CSDN博客https://blog.csdn.net/ed25137667/article/details/130437080?spm=1001.2014.3001.5502

3.quartus联合modelsim进行仿真

(61条消息) QUARTUS仿真(quartus13.0)_正经工作是摸鱼的博客-CSDN博客https://blog.csdn.net/ed25137667/article/details/130437169?spm=1001.2014.3001.5502

4.quartus小白怎样打开已有工程

(61条消息) quartus,modelsim怎么打开工程_quartus打开文件_正经工作是摸鱼的博客-CSDN博客https://blog.csdn.net/ed25137667/article/details/130143013?spm=1001.2014.3001.5502

5.quartus 常见错误

(61条消息) QUARTUS常见错误_正经工作是摸鱼的博客-CSDN博客https://blog.csdn.net/ed25137667/article/details/130375094?spm=1001.2014.3001.5502

6.quartus 简单ip的使用

rom的使用

(34条消息) Quarus 2 13.0 rom IP的使用_正经工作是摸鱼的博客-CSDN博客icon-default.png?t=N3I4https://blog.csdn.net/ed25137667/article/details/130452407?spm=1001.2014.3001.5502

7.quartus自带仿真和查看RTL视图和模块接口图

(33条消息) QUARTUS查看RTL视图,模块图_正经工作是摸鱼的博客-CSDN博客https://blog.csdn.net/ed25137667/article/details/130439450?spm=1001.2014.3001.5502

8.FPGA开发工具资料贴

(62条消息) FPGA开发工具资料贴_正经工作是摸鱼的博客-CSDN博客https://blog.csdn.net/ed25137667/article/details/130152010?spm=1001.2014.3001.5502

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值