HDLBits上的Verilog练习

进入HDLBits主页https://hdlbits.01xz.net/wiki/Main_Page
在这里插入图片描述

开始编写吧

门电路

与门

在这里插入图片描述
代码如下:

module top_module( 
    input a, 
    input b, 
    output out );
    assign out=a&b;

endmodule

点击submit
在这里插入图片描述

成功后
在这里插入图片描述

或非门

module top_module( 
    input a, 
    input b, 
    output out );
    assign out=!(a|b);
endmodule

在这里插入图片描述

同或门

module top_module( 
    input a, 
    input b, 
    output out );
    assign out=!(a^b);

endmodule

在这里插入图片描述

组合电路

Mt2015 q4a

module top_module (input x, input y, output z);
    assign z=(x^y) & x;

endmodule

在这里插入图片描述

半加器

在这里插入图片描述

module top_module( 
    input a, b,
    output cout, sum );
    assign cout=a&b;
    assign sum=a^b;
endmodule

在这里插入图片描述

全加器

module top_module( 
    input a, b, cin,
    output cout, sum );
    assign sum=a^b^cin;
    assign cout=(a&b)|(a&cin)|(b&cin);

endmodule

在这里插入图片描述

时序电路

D触发器

在这里插入图片描述

module top_module (
    input clk,    // Clocks are used in sequential circuits
    input d,
    output reg q );//

    always @(posedge clk)
        q<=d;
    // Use a clocked always block
    //   copy d to q at every positive edge of clk
    //   Clocked always blocks should use non-blocking assignments

endmodule

在这里插入图片描述

m2014 q4a

在这里插入图片描述

module top_module (
    input d, 
    input ena,
    output q);
    always@(*)begin
        if(ena)begin
            q<=d;
        end
    end
endmodule

在这里插入图片描述

DFF (Exams/m2014 q4c)

在这里插入图片描述

module top_module (
    input clk,
    input d, 
    input r,   // synchronous reset
    output q);
    always @(posedge clk) begin
        if(r)
            q <= 1'b0;
        else
            q <= d;
    end
endmodule

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值