FPGA加法器实现与资源消耗-32位加法

使用FPGA实现32位无符号整数的加法

一、软件自动编译实现

1、verilog代码

`timescale 1ns / 1ps
module add32(
    input [31:0] A,
    input [31:0] B,
    output [31:0] out
);
    assign out = A + B;
endmodule

2、testbench

`timescale 1ns / 1ps
module add32_tb(
);
    reg [31:0] A;
    reg [31:0] B;
    wire [31:0] out;
    add32 add32_1(
        .A(A),
        .B(B),
        .out(out)
    );
    initial begin
        A = 1000;
        B = 0;
        #10
        $display("A = %d, B = %d, out = %d",A,B,out);
        A = 32'hFFFFFFFF;
        B = 1;
        #10
        $display("A = %d, B = %d, out = %d",A,B,out);
    end
endmodule

3、RTL

一个加法器

4、综合

看不清可以查看附件资源,可以看到的编译器自动优化使用了LUT资源以及Carry4(这个就是前面设计实现的4位加法器的超前进位加法器),其本质是由8个4位的全加器组合而成。

5、实现

使用了8片slice,32个LUT,8个CARRY4

由上图可知:K7的CLB由两个sliceL组成,每个slice由四个LUT6_2,三个MUX2_1,一个CARRY4,8个DFF组成。

二、指定DSP实现

1、verilog代码

`timescale 1ns / 1ps
(* USE_DSP="yes"*)module add32(
    input [31:0] A,
    input [31:0] B,
    output [31:0] out
);
    assign out = A + B;
endmodule

就是指定使用DSP实现:(* USE_DSP="yes"*),testbench、RTL与上面是一样的

2、综合

3、实现

由一个DSP48E1实现

  • 9
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值