为什么异步时钟不要设false path

本文探讨了为什么在异步时钟设计中不应使用false path,指出虽然表面效果相似,但异步时钟应使用专门的SDC命令。false path可能忽略异步信号间的潜在交叉耦合影响,导致时序分析不准确,从而影响性能和稳定性。正确的做法是根据时钟关系使用特定命令,避免因交叉talk计算方法不同而产生潜在风险。
摘要由CSDN通过智能技术生成

为什么异步时钟不要设false path

为什么异步时钟不要设false path

对于初学者,常常认为异步电路应该设false path。甚至很多老手也是这么认为的。
其实针对于异步电路,是有专门的sdc的命令来完成这项任务的。

set_clock_groups -asynchronous

用作用上来看,似乎和false path的效果是一样的。那么为什么还有这么个命令呢。

设想一下,有两个clock, clka和clkb,属于异步关系,应该怎么设置呢?

用clock group的方法:

 
set_clock_groups -group clka -group clkb 【命令1】

用false path的方法:

set_false_path -from [get_clock clka] -to [get_clock clkb]   【命令2】
set_false_path -from [get_clock clkb] -to [get_clock clka]

比较下来,似乎clock group的方

  • 10
    点赞
  • 82
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值