Verilog中reg signed的使用

本文探讨了在编程中,有符号reg(register)在扩展十六位数如C=a-b时的重要性,强调了当使用regsigned而非默认补零扩展时,如何保持原始数值的精确性。通过实例解析了为何定义为regsigned对于正确位扩展至关重要。
摘要由CSDN通过智能技术生成

有符号reg的用处是在,对变量进行位扩展时,会用变量当前位数的最高位进行扩展。
例如C是一个十七位的有符号数,C等于两个十六位的数a和b之差,那么这两个十六位的数字也需要定义为reg signed,否则在对a,b进行位扩展时候,会用0补位,即扩展为{1’b0,a},只有定义为reg signed,才会扩展位{a[15], a}

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值