HDLBits练习Lfsr32

这篇博客详细介绍了如何用Verilog语言构建一个32位Galois线性反馈移位寄存器(LFSR),涉及特定位位置的 taps(反馈 taps),并展示了两种不同的时序逻辑实现方式,包括同步重置和位操作。
摘要由CSDN通过智能技术生成

See Lfsr5 for explanations.

Build a 32-bit Galois LFSR with taps at bit positions 32, 22, 2, and 1.

module top_module(
    input clk,
    input reset,    // Active-high synchronous reset to 32'h1
    output [31:0] q
); 
always@(posedge clk)begin
        q<=q>>1;
        if(reset)
            q<=32'h1;
        else begin
            q[31]<=q[0]^1'b0;
            q[21]<=q[22]^q[0];
            q[1]<=q[2]^q[0];
            q[0]<=q[1]^q[0];
    end
end

//或者拼接

always@(posedge clk)begin
        if(reset)
            q<=32'h1;
        else
            q<={q[0]^1'b0,q[31:23],q[0]^q[22],q[21:3],q[2]^q[0],q[1]^q[0]}
end
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值