HDLBits练习Fsm ps2data

Fsm ps2data

在这里插入图片描述
本题与上题的不同之处在于需要一个寄存器存储输入数据,然后在done之后输出;虽然是利用上题的状态转换,即组合逻辑,但是测试时发现单个时钟输入两个数据,但只取上升沿数据,所以需要使用时序逻辑。

module top_module(
    input clk,
    input [7:0] in,
    input reset,    // Synchronous reset
    output [23:0] out_bytes,
    output done); //

    // FSM from fsm_ps2

    // New: Datapath to store incoming bytes.
    parameter B1=2'd0,B2=2'd1,B3=2'd2,Dn=2'd3;
    reg [1:0] state;
    wire [23:0] memo;
    // State transition logic (combinational)
    always@(posedge clk)begin
        if(reset)
            state<=B1;
        else case(state)
            B1:begin
                state<=in[3]?B2:B1;
                memo[23:16]<=in;
            end
            B2:begin
                state<=B3;
                memo[15:8]<=in;
            end
            B3:begin
                state<=B1;
                memo[7:0]<=in;
            end
        endcase
    end
    // Output logic
    //由于需要保持一个周期高电平,所以选择了时序逻辑输出done,
    //且done在state==B3后就拉高,同时需要满足非复位。
    always@(posedge clk)
    if(state==B3&&~reset)
        done<=1'd1;
    else
        done<=1'd0;
    assign out_bytes=done?memo:24'd0;
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值