Verilog 位拼接运算符{}语法要点总结

Verilog 位拼接运算符语法要点总结

Verilog位拼接运算符{}语法回顾

verilog中{}运算符用于“拼接”多个变量或者常量,基本用法如下:
1、变量的拼接

wire a[3:0], b[4:0];
wire c[7:0];
assign c = {a, b};

上面代码的执行结果如下图所示:
位拼接示例

2、变量与常量的拼接

wire b[4:0];
wire c[7:0];
assign c = {3’d5, b};

注意上面的常数5必须指明位宽,否则将出错。
例如,不能试图通过下面的语法把w初始化为8‘b1111_1111,

wire w[7:0];
assign w = {8{1}};

而应该写作:

wire w[7:0];
assign w = {8{1'b1}};

3、变量或者常量的重复(扩展)与拼接

wire a[31:0], b[4:0];
wire c[7:0], d[7:0];
assign a = { {2{b}}, c, d };
assign c = {2{4’d5}};
assign d = {4{b}};

注意:如下写法的语法是错误的,2{b}必须用{}括起来再参与拼接。

assign a = { 2{b}, c, d };

4、用作字节序的交换

wire a[31:0], b[31:0];
assign a = {b[7:0], b[8:15], b[16:23], b[24:31]};

通常在大端模式和小端模式的处理器之间交互数据会需要字节序的交换。

5、位填充,把8位的有符号数扩展为32位

wire in[7:0];
wire out[31:0];
	assign out = { {24{in[7]}}, in };

要点总结

1、拼接中使用的常数建议必须指明位宽,否则可能出现问题。
2、拼接可以嵌套,但是嵌套的部分需要注意语法书写的细节(前述第三点)。
3、可以使用类似{n{reg}}的方式填充重复的reg,其中n为重复的次数。
  • 52
    点赞
  • 233
    收藏
    觉得还不错? 一键收藏
  • 9
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值