spi_master的理解

module spi_master
(
input sys_clk,
input rst,
output nCS, //chip select (SPI mode)
output DCLK, //spi clock
output MOSI, //spi data output
input MISO, //spi input
input CPOL,
input CPHA,
input nCS_ctrl,
input[15:0] clk_div,
input wr_req,
output wr_ack,
input[7:0] data_in,
output[7:0] data_out
);
1:Sys_clk是系统的输入时钟,其中DCLK为SPI接口输出的时钟,
DCLK时钟的周期为DCLK=sys_clk/ (clk_div*2)。
2:rst是高电平有效的复位信号。
3:nCS是SPI的片选信号;
4:MOSI是master输出,其中master就是FPGA,就是说FPGA的SPI输出信号
5:MISO是slave输出,其中master就是FPGA,就是说FPGA的SPI输入信号
6:CPOL是空闲状态的时候DCLK的状态,CPHA是什么时候FPGA放置MOSI数据的控制位置。它们两个信号的配合状态如下图。
CPOL和CPHA之间的关系
7:nCS_ctrl控制片选信号cs的控制位置;
8:wr_req是FPGA的SPI输出请求,高电平有效。当wr_req变为高电平的时候开始输出,当时当输出结束以后如果wr_req还是高电平,继续SPI输出。
9:wr_ack发送反馈
10:data_in需要的SPI数据;
11:data_out接收到的数据。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值