Quartus波形仿真时报错

** Error: (vlog-13069) 2-2.vwf.vt(30): near "2": syntax error, unexpected INTEGER NUMBER.

End time: 17:01:03 on Jul 02,2021, Elapsed time: 0:00:00

Errors: 1, Warnings: 0

** Error: D:/intelFPGA_lite/modelsim_ase/win32aloem/vlog failed.

Executing ONERROR command at macro ./2-2.do line 4

 经过分析和纠错,主要可能有两个原因:

一、输入输出端口命名不正确,请检查是否符号标准。

二、文件名命名不正确,要么包含汉字要么以数字开头,请统一改为纯英文。

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

没手更行

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值