如何快速的入门UVM?

学习SV

建议在学习之前,要对SV的类有一定的了解,如果你对SV不是很熟悉,强烈推荐路桑的SV的免费学习视频-

芯片验证V0系列课程。链接如下:https://ke.qq.com/course/334853?taid=4341584871365637

UVM入门

其次建议阅读《The UVM  Primer》,该书籍深入浅出的介绍了测试平台是如何进行一步步演变的,非常通俗易懂。

同时该书籍配备工程代码,该工程代码可以直接在windows平台上跑通,比如modelsim 10.4 ,quetsim 10,2。这也正是选择这套资料的方便之处,你不必为了学习UVM安装复杂的linux环境。同时你可以在看书籍的同时也可以看代码。即使书籍中的内容看不懂,也可以直接对照工程运行结果来辅助学习。

The UVM  Primer 这本书的缺点在于深度不够,毕竟是入门的书籍,如果想深入的话,参考张强的《UVM实战》。

UVM资料下载

以下为《The UVM  Primer》电子书以及配套源码

链接:https://pan.baidu.com/s/10Wy8q9fv-6_juGZmDYEtmg 
提取码:z3ls

该电子书来源于网络,该配套源码来源于https://github.com/courageheart/uvmprimer

  • 3
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值