如何生成CRC的verilog仿真模型并调试

本篇主要分享起源与笔者在项目中,生成了CRC模型后,出现了CRC实际值和参考值不一致的情况。笔者查找了一些相关资料后,对其解决过程进行了一点记录。

 关于CRC的原理,个人在学习的过程中讲的通俗易懂的如下:

https://mp.weixin.qq.com/s/RNHLZGPD9Ysbxb1FNDn6EA

另外通过查找表讲解CRC的实现方式,也比较通俗。

理解CRC查表算法

关于如何生成CRC的verilog 模型可以通过网站生成,也可以通过脚本来进行生成。

1、网站可以通过以下网站:

http://outputlogic.com/?page_id=321

具体使用参考以下博文:FPGA手撕代码——CRC校验码的多种Verilog实现方式

其中想说一点的是,下图Protocol下拉不同选项为CRC的类型,选User Define(用户定义)最通用,其他的类型含义,参考以下博文:Verilog数字系统基础设计-CRC

 另外一种通过脚本生成CRC的模型可以参考以下链接:任意多项式,任意位宽crc verilog代码自动生成perl脚本 - 腾讯云开发者社区-腾讯云 (tencent.com)

笔者在实际项目中发现了,选取同样的多项式和同样的输入数据,实际项目中计算的值,与通过生成的verilog仿真模型计算出来的值是不一样的,但是下面网站中在线计算CRC的计算值是一样的。

CRC(循环冗余校验)在线计算_ip33.com

这个到底是什么原因呢?我在下面博客中找到了答案。


CRC的计算过程你真的搞明白了吗??_EmbededCoder的博客-CSDN博客_crc计算

CRC校验值的差异关键在于输入数据和输出数据是否反转,输入数据反转和输出数据反转具体解释参考上述博文。通过CRC模型生成的verilog仿真代码对其输入和输出值是不会经过反转处理的,而我实际项目中代码是经过输入和输出值反转处理的。所以我们在测试激励中输入数据在输入CRC仿真模型的之前要人为额外的对输入输出进行处理,同时也要额外对CRC仿真模型的输出结果进行额外处理。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值