2-2 Verilog 7 段译码器(静态显示)

本文介绍了如何使用Verilog设计一个7段译码器,适用于静态显示16进制数字。借助Xilinx ISE 14.7工具,通过创建真值表并映射,实现3-8译码器类似的功能。内容中包含了实际开发板(NEXYS 3 ——Spartan6 XC6LX16-CS324)上的效果展示和引脚配置说明,特别指出在7段译码管控制中,0表示开启,1表示关闭。
摘要由CSDN通过智能技术生成

使用工具:Xilinx ISE 14.7


7段译码器主要是由七段译码管组成,通过控制各个译码管的开与关达到显示出16进制数的16个数。实现过程和3-8译码器差不多,主要是构建一个真值表作为map,然后一一对应就行了,代码如下:

module code(
<span style="white-space:pre">	</span>input wire [3:0] in,<span style="white-space:pre">			</span>//输入的数字
<span style="white-space:pre">	</span>output reg [6:0] led,<span style="white-space:pre">		</span>//7段译码管的映射
<span style="white-space:pre">	</span>output reg [3:0] en<span style="white-space:pre">			</span>//显示数字的位置,比如7为3'b111那么在前三位都显示7
    );


<span style="white-space:pre">	</span> 
always @ (*)
    case(in)
        4'b0000: begin
<span style="white-space:pre">						</span>led = 7'b1000000; en = 4'b1110;<span style="white-space:pre">	</span>//0
<span style="white-space:pre">						</span>end
        4'b0001: begin
<span style="white-space:pre">						</span>led = 7'b1111001; en = 4'b1110;<span style="white-space:pre">	</span>//1
<span style="white-space:pre">						</span>end
        4'b0010: begin
<span style="white-space:pre">						</span>led 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值