4-2 Verilog 多路选择器设计实现

Verilog 学习过程——多路选择器设计实现
摘要由CSDN通过智能技术生成

使用工具:Xilinx ISE 14.7

设计一个二位4路选择器,由于选择器使用条件触发的方法对应真值表进行匹配输出与输入,因此可以联想到在C程序设计中的条件语句“If”和“Case”两种,那么这两种设计从硬件的角度出发有什么不同呢?

代码如下:


为了区别两种设计的不同,可以查看ISE提供的综合报告,操作如下:


综合报告对比:


使用Case

  • 7
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值