verilog学习实例——编码器

16位编码器

module encoder_using_if(
binary_out,  //4位二进制编码输出
encoder_in   //16位输入
enable       //编码使能信号
);
//输出端口
output binary_out;
//输入端口
input enable
input[15:0] encoder_in;
//内部变量声明
reg[3:0]  binary_out;

always@(enable or encoder_in) begin                 //always语句产生组合逻辑,此句可改成always@(*)    
    if(enable) begin                                //使能信号enable必须为1,才可以译码
        if(encoder_in == 16'h0002) 
  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值