优先级编码器的Verilog代码

1.新建文件夹命名priority
在这里插入图片描述
2新建一个工程,点击输入框,输入代码
module priority(W,Y,z);
input [3:0]W;
output reg [1:0]Y;
output reg z;

always @(W)
begin
z=1;
casex(W)
4’b1xxx:Y=3;
4’b01xx:Y=2;
4’b001X:Y=1;
4’B0001:Y=0;
default: begin
z=0;
Y=2’bx;
end
endcase
end

endmodule
在这里插入图片描述

3.点击运行按钮,开始运行检查错
在这里插入图片描述

4.全部正确后,改变仿真途径为modelsim开始进行联合仿真
在这里插入图片描述

5.改变波长数值导出结果
在这里插入图片描述

6.视频链接:https://www.bilibili.com/video/BV1TK4y1G7Te?share_source=copy_web

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值