verilog学习笔记——三段式状态机

  • 摩尔状态机的架构
    这里写图片描述

  • 状态转换图
    这里写图片描述

  • coding

module finite_fsm(
z_o,
clk,
Rst_n,
w_i
);
//输出端口
output z_o;

//输入端口
input clk;
input Rst_n;
input w_i;

//输出端口类型声明
reg z_o;

//参数声明
parameter IDLE = 2'b00;
parameter S0 = 2'b01;
parameter S1 = 2</
  • 9
    点赞
  • 93
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值