FPGA中BCD码-七段数码管译码器

        定义输入为indec;输出为decodeout;则代码为:

module    decode4_7(decodeout,indec);

    input    [3:0]  indec;

    output    [6:0]  decodeout;

    reg    [6:0]  decodeout;

always    @(indec)
    begin
        case(indec)
            4'd0:    decodeout = 7'b1111110;
            4'd1:    decodeout = 7'b0110000;
            4'd2:    decodeout = 7'b1101101;
            4'd3:    decodeout = 7'b1111001;
            4'd4:    decodeout = 7'b0110011;
            4'd5:    decodeout = 7'b1011011;
            4'd6:    decodeout = 7'b1011111;
            4'd7:    decodeout = 7'b1110000;
            4'd8:    decodeout = 7'b1111111;
            4'd9:    decodeout = 7'b1111011;
            default: decodeout = 7'bx;
        endcase
    end

endmodule

  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值