关于Modelsim SE-64 2020.4取消优化后不显示波形问题

Modelsim取消优化后报错# ** Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.

因此为了不报错,选择启用优化”Enable optimization”,但是直接选择优化是不会出来波形图的,点击红框内修改“优化选项”中的内容。

默认为“No design object visibility”,修改为“Apply full visibility to all modules(full debug mode)”后点击”OK“,自动跳转到下图界面。

然后右键点击例化函数“u_key_led”,选择“Add Wave”,由于Modelsim的特点,如果选择tb_key_led显示的变量是不完整的。

然后点击“Run”,运行仿真函数。

最后点击“Zoom Full”,将波形全局显示。

出现上图波形,完美解决报错啦!

  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值