SV杂谈-关于$cast

(1)条件:创建一个子类对象,同时有子类句柄和父类句柄

那么执行:父类句柄 = 子类句柄这种赋值方式是可行的;通过父类句柄调用变量和函数的时候,对于变量显示的是父类的变量(针对同名变量,不同名的变量哪里有显示哪里的),对于方法,如果是同名方法,并且父类中的同名方法没有声明virtual,那么调用的任然是父类的,反之子类;

(2)条件:创建一个父类对象,同时有子类和父类的句柄

那么执行:子类句柄 = 父类句柄  ->报错

注意任何句柄之间的赋值前提是两者指向的空间是相同的

基于此,如果想要把父类句柄给到子类句柄,那么需要的条件是:创建了一个子类对象,同时有一个父类句柄,两个子类句柄。先执行(1)中的内容,也就是把创建实例的子类给到句柄给到父类句柄,保证子类父类句柄指向的空间是一致的,在这个基础上用$case(另一个子类句柄,转化后的父类句柄)实现转化

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值