Vivado的XPM在modelism中仿真时例化failed的问题。

写下第一篇技术blog,学习以及记录。

1. 问题

RT,工程中使用URAM,通过XPM的方式例化完成,仿真时问题来了,遇到如下图所示的错误:

找不到该模块,是因为已经编译添加的xilinxIP库文件中没有这玩意儿。

2. 解决方法

于是搜索xilinx/vivado/data/ip目录:

找到了xpm_memory.sv,添加这个文件到work library下面,使用modelsim编译,再仿真OK。

  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado 2019 是一款由Xilinx公司开发的综合工具,用于设计和验证FPGA(可编程逻辑门阵列)和片上系统。ModelSim是一款由Mentor Graphics公司开发的仿真工具,用于验证、调试和优化数字硬件设计。 Vivado 2019和ModelSim可以结合使用进行联合仿真,以更全面地验证设计的正确性和功能性。这种联合仿真的流程可以分为以下几个步骤: 首先,使用Vivado 2019进行设计和综合。可以通过Vivado提供的图形界面或者HDL语言(如VHDL或Verilog)来描述设计。Vivado会将设计转换为逻辑门级的表示形式,利用现场可编程门阵列(FPGA)的资源。 其次,根据Vivado生成的逻辑网表文件,可以使用ModelSim进行仿真。通过将逻辑网表文件载入到ModelSim,可以在仿真环境对设计进行验证。ModelSim提供了强大的仿真功能,包括信号波形显示、钟域分析、断点设置和调试功能等。 在联合仿真过程,可以通过在ModelSim创建测试程序来激励设计。测试程序可以生成各种输入信号,并监测输出信号以进行验证。通过观察信号波形和仿真结果,可以判断设计是否满足预期的功能要求。 此外,ModelSim还提供了丰富的调试功能,可以帮助分析和解决设计问题。通过设置断点、单步执行和观察变量值等操作,可以逐步调试设计并定位错误。 最后,通过不断的迭代和修改设计,可以通过联合仿真验证设计的正确性和性能。一旦设计通过了联合仿真,并满足设计要求,就可以继续进行后续的设计流程,如布局布线和生成比特流文件等。 总的来说,Vivado 2019和ModelSim联合仿真为硬件设计人员提供了一个全面验证和调试设计的工具链。通过这一工具链的使用,可以更加准确地评估和优化设计,提高设计的可靠性和性能。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值