vivado 仿真_开发者分享 | 说说仿真库编译那点事

8e3f0bed0eab71fa304a20c2298a07d0.gif

点击“蓝字”关注我们

本文来自Grace Sun, Xilinx Senior Tools Applications Engineer

用户在用第三方仿真器对Vivado设计做仿真的时候,面临的第一个任务就是做仿真库的编译。事实上,后续相当一部分碰到的问题都与仿真库编译相关。今天,我们就来梳理一下关于仿真库编译的方方面面。

为什么需要单独编译仿真库?

一般来说,你的设计里不免会调用器件原语或是例化 Xilinx IP,这些原语及IP的仿真模型是以一系列组织为库的源文件给出,如果不事先把这些源文件编译成目标仿真器识别的格式,那跑仿真的时候就会出现找不到对应模块的错误。

有人也许会问,那我预先不编译,实际用到哪个原语就把模型源文件添加到编译列表实时编译到对应的库不行吗?当然也是可以的,只是设计越复杂,涉及到的仿真模型越多,逐一添加既繁琐又容易遗漏和出错,同时也增加编译开销,不如打包预编译来得省心。

仿真库和仿真模型从哪里找?

Xilinx提供的仿真库列表如下:

13d6e84dce4c615afb1b8ac8ae094cbf.png

其中,unisim,unimacro,unifast 可以在 Vivado 安装目录下的 data//src子目录下找到;secureip 位置是在安装目录的 data/secureip,如下表所示:

65735a339cbfaba1167834ae4baae1da.png

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值