三人表决电路——Verilog HDL语言

任务描述

根据所学的组合逻辑及数字电路的知识完成三人表决电路的设计,实现少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。
运用Verilog HDL进行设计,完善三人表决电路的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。

相关知识

逻辑原理

三人表决电路中, 当表决某个提案时,多数人同意,则提案通过;同时有一个人具有否决权。若全票否决,也给出显示。
设输入为 A、 B、 C,且 A 具有否决权。同意用1 表示,不同意用 0 表示;输出 X 为 1 时表示提案通过; Y 为 1 时表示提案全票否决。 则三人表决电路的真值表如下表所示。

三人表决器真值表

在这里插入图片描述

编程要求

根据真值表,编写的程序要能根据不同的输入完成三人表决功能。

源代码

测试平台:EduCoder

module JG3(ABC,X,Y);
	input [2:0] ABC;
	output X, Y;
	reg X, Y;
	always@(ABC)
    // 请在下面添加代码,实现满足三人表决器真值表;
        /********** Begin *********/
    case(ABC)
       //3'b000:begin X<=1'b0;Y<=1'B1;end
       3'b000:begin X=1'b0;Y=1'b1;end
       3'b001:begin X=1'b0;Y=1'b0;end
       3'b010:begin X=1'b0;Y=1'b0;end
       3'b011:begin X=1'b0;Y=1'b0;end
       3'b100:begin X=1'b0;Y=1'b0;end
       3'b101:begin X=1'b1;Y=1'b0;end
       3'b110:begin X=1'b1;Y=1'b0;end
       3'b111:begin X=1'b1;Y=1'b0;end
      default:begin X=1'b1;Y=1'b0;end
    endcase
        /********** End *********/
endmodule

觉得有帮助的可以点个赞再走哦!!

评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

MMagicLoren

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值