半加器——Verilog HDL语言

任务描述

根据所学的组合逻辑及数字电路的知识完成半加器的设计,验证满足一位半加器的规则,根据逻辑真值表和逻辑表达式完成表决功能。熟悉Quartus II的Verilog HDL文本设计流程,掌握组合逻辑电路的设计仿真和硬件测试的方法。最后完善一位半加器电路的功能描述风格Verilog HDL 代码。

相关知识

逻辑原理

一位半加器电路中, A、B为两个 1 位数,不考虑来自低位的进位, A、 B 相加的结果为 So,产生的进位为 Co。
设输入为 A、 B,且 A 表示被加数,用二进制数1,0表示该输入值; B 表示加数,用二进制数1,0表示该输入值。 则一位半加器电路的真值表如下表所示。

一位半加器真值表

在这里插入图片描述

编程要求

为了完成判断学生成绩等级的任务,完善编程模块设计代码,编写的程序要能根据不同的输入能够得到满足一位半加器真值表的组合逻辑的输出。

源码

测试平台:EduCoder

//hadder_test.v
module hadder_test(a,b,cout,sum);
// 请在下面添加代码,完成一位半加器功能
//The first method
/* Begin */
  output sum;
  output cout;
  input  a,b;
  assign {cout,sum}=a+b;
/* End */
/*
//The second  method
input a,b;
output cout,sum;
wire a,b;
reg cout,sum;

always @(a,b)
    begin
        if(a==0 && b==0)
            begin cout=0;sum=0;end
        else if(a==0 && b==1)
            begin cout=0;sum=1;end
        else if(a==1 && b==0)
            begin cout=0;sum=1;end
        else if(a==1 && b==1)
            begin cout=1;sum=0;end
    end
*/
endmodule

觉得有帮助的可以点个赞再走哦!!

  • 21
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

MMagicLoren

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值