【UVM】uvm_config_db tips

uvm_config_db 在UVM时经常被用到的,但最近在调试同事环境的时候,有一点跟我之前的认知是不一样,所以在这记录一下。

场景如下:

class env extends uvm_env;
  ...
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    agt = agent::type_id::create("agt",this);
    uvm_config_db#(sequencer)::set(this,"agt","sqr",agt.seqr);
  endfunction
  ...
endclass

class vseq extends uvm_sequence;
  ...
  task body();
    uvm_config_db#(sequencer)::get(null,"uvm_test_top.env.agt","sqr",seqr);
  endtask
endclass

就是说,在seqr没有create之前把seqr的handle set 给agent,原本认为等vseq 启动后,seqr已经create,所以seq 可以获取到seqr的handle,但结果是seq中的seqr是null。

可以理解为:利用uvm_config_db set时的handle,和seqr create后的handle不是同一个。

tips:

如果利用uvm_config_db传的是handle,则要保证在set时handle有指向具体空间。


在sequence中获取变量

 

uvm_config_db # (int) :: set (this, “env.i_agt.seqr.*”, “count”, count); // test_base class

uvm_config_db # (int) :: get (null, get_full_name(), “count”, count); // my_sequence class

  其中,get_full_name 返回:uvm_test_top.env.i_agt.seqr.my_sequence

 

另外一种写法:

uvm_config_db # (int) :: set (this, “env.i_agt.seqr”, “count”, count); // test_base class

uvm_config_db # (int) :: get (m_sequencer, “”, “count”, count); // my_sequence class

 

 

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值