DDS技术原理即应用

      DDS是直接数字频率合成器(Direct Digital Synthesizer)的缩写,DDS技术在频率合成方面体现了优越性能,得到了广泛的应用。直接数字频率合成器由基准时钟,相位累加器、波形存储器、D/A转换器和低通滤波器(LPF)五部分构成,如图:

                                  

     相位累加器由N位加法器和N位寄存器构成。每来一个时钟Clock,加法器就将频率控制字与累加寄存器输出的累加相位数据相加,相加的结果又被送至累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续控制字相加。这样,相位累加器的时钟作用下,不断对频率控制字进行线性累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成的相位,相位累加器的溢出频率就是输出的信号频率。用相位累加器输出的数据作为波形存储器的相位地址,这样就可以把存储在波形存储器内的波形抽样值(二进制编码)经查找表完成相位到幅值转换。波形存储器的输出送至D/A转换器,由D/A转换器将信号转换成模拟信号输出。

      这次的应用用的是ADI公司的AD9859芯片,下面就看看它的内部框架图。


         AD9859是ADI公司生产的高集成度的数字频率合成器,它的内核最高可以工作在400MHz。外部时钟信号可以选择直接DDS内核或者通过AD9859内部的一个编程的参考时钟乘法器输入内核。AD9859内部集成了一个高速高性能的10位DAC来产生数字化可编程的频率高达200MHz的模拟正弦波形输出(200MHz是理论数值),此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。

         AD9859支持多种时钟模式并且差分或单端输入时钟,可以通过向相关寄存器内写入控制字来使用片内晶振或者锁相环参考时钟乘法器。而系统时钟则是由CLK MODESELECT管脚的输入与寄存器CFR1<4>、CFR2<7:3>中的数据来共同支配AD9859的六种工作模式中的一种来产生。

       单频工作模式是芯片默认的工作模式。当复位时,寄存器清零;当工作时,用户向寄存器中写入频率控制字即可获得DDS所需频率点的单频输出。输出电流幅度可由芯片内数字乘法器和幅度控制功能来调整。在单片工作模式下,频率控制字的字被储存在寄存器FTWO中随后被截断送入相位累加器。这个值被手动修改,通过在I/O UPDATE时钟脉冲到达时向FTWO中写入新的频率控制字实现。相位调节则需要通过相位补偿寄存器实现。

       在AD8959中,重要的是有内部时钟和外部时钟UPDATE CLOCK功能。输入AD9859中的数据是和SYNC-CLK的信号同步的,这个信号通过SYNC-CLK引脚供给外部用户I/O UPDATE在SYNC-CLK的上升沿采样。也就是说当数据输入到AD9859的缓冲寄存器时,并不会马上按输入指令开始工作。只用当一个SYNC-CLK时钟信号上升沿到来且I/O UPDATE满足条件时,AD9859的缓冲寄存器才按输入指令开始输出数据到工作寄存器中。

       在芯片内部,SYSCLK被送入一个四分频器来产生SYNC-CLK信号。当反向计数器计数到零的时候,DDS芯片自动更新SYSNC-CLK的时钟信号通过SYNC-CLK管脚提供给用户。这样可以通过强制外部硬件遵从SYNC-CLK的时序来实现外部硬件与内部时钟的同步。从图3可以看出在SYSNC-CLK上升沿和I/O UPDATE高电平时,缓冲寄存器中的数据转移到DDS芯片的工作寄存器中。SYSNC-CLK及I/O UPDATE管脚为用户提供一个与系统时钟SYSCLK之间不变的潜在联系,也保证了当一个新的频率控制字或相位补偿产生时模拟波形输出的连续性。


ARM处理器

       ARM处理器对DDS进行操作。AD8959的通过SPI方式来控制内部寄存器状态的,基于ARM Cortex-M3内核的STM32F103只需对AD9859的SCLK、SDIO、I/O UPDATE三个I/O口进行操作,很容易把各种控制字写入AD9859的状态寄存器中,从而产生所需的频率。SCLK是控制字写入的时钟口,SDIO是控制字写入口,I/O UPDATE用来更新写入的寄存器的控制状态。

                                                      

        AD8959的频率输出后,经过一段椭圆滤波器滤波,在经过全差分放大器进行放大,然后经过耦合线圈将两路差分信号合成一路信号输出。(这部分得后续根据实际情况在进行设计)

        总结:这次设计的难度在于后续的滤波电路,波形的质量全在于此。前期的难度在于英文的数据手册太难读懂了。

  • 8
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 14
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 14
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值