自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

limanjihe的专栏

点滴积累

  • 博客(54)
  • 资源 (6)
  • 收藏
  • 关注

转载 typedef 的用法

此部分参考自:http://blog.sina.com.cn/u/572f7666010008dm 用途一: 定义一种类型的别名,而不只是简单的宏替换。可以用作同时声明指针型的多个对象。比如: char* pa, pb;  // 这多数不符合我们的意图,它只声明了一个指向字符变量的指针, // 和一个字符变量; 以下则可行: typedef char

2016-08-31 21:07:26 456

转载 16位单周期CPU设计

reference : http://blog.csdn.net/hyhop150/article/details/51439627 (有其他价值的文档)使用工具:Xilinx ISE 14.7指令的Control真值表架构设计:宏定义表格指令解析:仿真:工程项目实现的链接:点击打开链接

2016-08-31 20:53:49 1519

原创 三段式序列检测器的实现

reference : http://www.cnblogs.com/yulongchen/archive/2013/02/13/2911046.html 第一次用verilog上机建模,深刻体会到看书所掌握不到的体会。有时候看书无法细心观察到的东西,在敲实验代码的时候,或许能够体现出来。现将第一次的体会记录如下。还是先将verilog代码写下 1 /**********

2016-08-31 20:51:32 6737 1

转载 基于FPGA的CRC校验码生成器

reference:   http://www.cnblogs.com/BitArt/archive/2012/12/26/2833100.html1.概述  CRC即Cyclic Redundancy Check,循环冗余校验,是一种数字通信中的常用信道编码技术。其特征是信息段和校验字段的长度可以任意选定。2.CRC校验的基本原理:  CRC码是由两部分组成的,前部分

2016-08-31 17:44:14 12837 4

转载 三段状态机的思维陷阱

reference:  http://bbs.ednchina.com/BLOG_ARTICLE_3003230.HTM 用三段式描述状态机的好处,国内外各位大牛都已经说的很多了,大致可归为以下三点:1.将组合逻辑和时序逻辑分开,利于综合器分析优化和程序维护;2.更符合设计的思维习惯;3.代码少,比一段式状态机更简洁。 对于第一点,我非常认可,后两点在Clifford

2016-08-31 11:30:15 1041

转载 用Verilog语言实现奇数倍分频电路3分频、5分频、7分频

reference :  http://blog.chinaunix.net/uid-24765042-id-2585201.html           http://blog.sina.com.cn/s/blog_74da86160100w629.html   分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相

2016-08-31 11:24:54 44033 2

原创 异或^的几个应用(verilog)

reference : http://www.cnblogs.com/danh/archive/2010/12/24/1915810.html (博客有参考价值)一、交换两个整数的值而不必用第三个参数a = 9;b = 11;a=a^b; 1001^1011=0010b=b^a; 1011^0010=1001a=a^b; 0010^1001=10

2016-08-31 11:09:05 58990 2

转载 OC门

reference: http://www.cnblogs.com/xiangxiangyuan/p/3787831.html (其他总结也精彩)OC(open collector)门,又称集电极开路门。OD门(Open Drain,漏极开路门,对场效应管而言)。实际使用中,有时需要两个或两个以上与非门的输出端连接在同一条导线上,将这些与非门上的数据(状态电平)用同一条导线输送

2016-08-31 10:14:48 18289

转载 异步FIFO的FPGA实现

REFERCE:http://www.cnblogs.com/BitArt/archive/2013/04/10/3010073.html     本文大部分内容来自Clifford E. Cummings的《Simulation and Synthesis Techniques for Asynchronous FIFO Design》,同时加上一些自己的一些理解,有兴趣的朋友可以阅读原

2016-08-31 09:38:14 684

原创 基础笔试(1)

1、  同步电路和异步电路的区别是什么?异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。电路的稳定需要有可靠的建立时间和持时间,待下面介绍。      同步电路是由

2016-08-31 09:18:07 1537

原创 verilog 捕捉上升沿下降沿

捕捉btn的下降沿module( in , out , clk , rst_n)input in;input clk;input rst_n;output out;reg btn1;reg btn2;always @(posedge clk or negedge rst_n)    if(!rst_n) begin    btn1    

2016-08-29 23:52:00 19752

原创 记一次GIT的安装过程

参考文献:http://jingyan.baidu.com/article/020278117cbe921bcc9ce51c.htmlGit是目前世界上最先进的分布式版本控制系统。Windows下Git新版本的安装和比较早之前的有些不一样,包括下载以及配置。由于很多人在网上找资料的时候都是比较早之前的方式,对于初学者来说很不好。这里我将自己安装的过程通过图文的形式给大家演示,希望能帮到

2016-08-28 22:11:32 726 1

原创 Makefile 出现./simv up to date.如何解决?

如下图:MAKEFIEL执行好run时,不能执行,提示为:./simv up to date.Makefile:all: clean comp runcomp:vcs ***********run:./simv clean:rm -rf **********************为什么生成的simv文件不能执行呢?但是单独的手动执行simv是可以出

2016-08-28 01:49:59 2846 2

原创 VCS中利用Makefile脚本仿真详细图解

1.可仿真的Verilog文件通常是写一个module.v文件,然后写一个testbench即module_tb.v文件,该文件中例化module。可仿真的Verilog文件是指testbench即module_tb.v。在VCS中module_tb.v除了声明timescale,初始化信号输入和例化module外,还需要加上波形输出函数$vcdpluson()和仿真时间控制函数$fini

2016-08-26 10:52:21 20647

原创 记一次模块综合的步骤

一: 在synth/peri下,    make clean;make dir    生成gate/ddc/sdc路径    make clean;make rsip_epwm.ddc    运行;        生成gate/rsip_epwm.vg             即为网表netlist    alib-52    ddc    gate

2016-08-26 10:37:45 685

转载 关于$test$plusargs和$value$plusargs的小结

Abtract    $test$plusargs和$value$plusargs作为进行Verilog和SystemVerilog仿真运行时调用的系统函数,可以在仿真命令直接进行赋值,并且不局限于不同仿真器对于参数在仿真命令中定义格式不同的限制,也避免了调换参数带来的频繁编译等问题。使用这两条函数对于搭建测试平台有一定的便利,同时对于理解Factory中用例是如何传递进Proxy Class

2016-08-26 10:23:22 7662 1

转载 verilog调试--- $test$plusargs和$value$plusargs的用法

VERILOG的参数可以用define和parameter的方式定义,这种方法要求我们在编译前将变量必须定义好,编译完成之后再也不能修改;然而,有时候我们在进行仿真时,需要从外部传递参数,这个要求怎么满足呢?我们来看下 $test$plusargs和$value$plusargs的功能,首先来看一个简单的例子:`timescale 1ns/1psmodule tb;

2016-08-26 10:22:16 2709

转载 时序约束,STA的Q&A

STA(17)(1) clockQ1.1什么是同步时钟?时钟频率是整倍数,并且相互之间的相位是固定而且相差可预知的,才可以称得上是同步时钟。其他的都算异步时钟。比如,5M,10M是同步2M,3M一般算异步一个时钟,输出到另一个芯片中,转一圈后,以同样的频率返回到自己的芯片,因为无法确定时钟在另一个芯片里面的latency,所以输出的时钟与输入的时钟算异步一个

2016-08-26 10:04:23 4043

转载 SDC时序约束(1)- create_clock

扩展:http://wenku.baidu.com/link?url=akY_aflyoIkbmsuXXcIOs99iPGX1KvMhJaQy7lW1HNudc-0tInCosJVuc-R_iB8y9Y2M2E4uC503RiXlPS5rDoddK27AlnqYCYSBCA96d1SSTA : http://wenku.baidu.com/view/966cd84ffe4733687e21

2016-08-26 09:33:40 37820 3

原创 modelsim的TCL脚本的define incdir命令解析

(1) +incdir+:如:vlog +incdir+YOUR_SOURCE_PATH  foo.v  +incdir+YOUR_SOURCE_PATH 选项是指在verilog文件中出现`include "xxx.v"时,包含文件的搜索路径。缺省是搜索当前路径,然后是 YOUR_SOURCE_PATH 指定的路径。(1) +define+: +define+[=]

2016-08-26 09:15:27 4624 1

原创 pwm对电机的控制,积累中。。。

第一:     http://www.360doc.com/content/14/0916/13/15888623_409895131.shtml      对直流电机的脉冲调控技术,其中的数学模型值得借鉴。

2016-08-25 20:36:26 814

原创 一些需要准备的东西

干一些事情,总是安排的不是太尽如人意。一些比较用的网站:            www.jb51.net : 有丰富的文档资源,特别是专业的书籍。

2016-08-25 20:34:34 355

转载 linux中bin和sbin目录的主要区别

bin:         bin为binary的简写主要放置一些系统的必备执行档例如:cat、cp、chmod df、dmesg、gzip、kill、ls、mkdir、more、mount、rm、su、tar等。 /usr/bin:            主 要放置一些应用软体工具的必备执行档例如c++、g++、gcc、chdrv、diff、dig、du、eject、elm、fre

2016-08-25 20:27:57 460

转载 C语言写的俄罗斯方块

来源:http://www.devlabs.cn/?p=386查看位于Github的源代码: https://github.com/ykaidong/Tetris2014年最后一天, 任天堂将风靡全球30年的经典游戏>下架. 作为全球最畅销的游戏, 其移植版本遍布各个平台. 下面这个是我去年在51平台上实现的, 使用12864液晶做为显示器, 用矩阵键盘操作. 大家都知

2016-08-25 10:35:30 1127

原创 VCS+VERDI 的环境配置(1)

引子:             最近,在64bit的LINUX(cent os 6.4)上安装好,synopsys的VERDI和VCS后,写好MAKEFILE脚本,在运行的时候总是出现不了FSDB文件,欲解决之。vcs版本: vcs-2014verdi版本:    在64位主机上运行32位软件,主要是解决好库的问题:安装库的时候要明确指出是x86的,否则,安装的是64位的;在这个地

2016-08-25 09:39:32 36843

转载 FatFS文件系统 (每条指令讲的都很细)

来源:http://blog.chinaunix.net/uid-20766895-id-2827635.htmlFATFS是一个为小型嵌入式系统设计的通用FAT(File Allocation Table)文件系统模块。FatFs 的编写遵循ANSI C,并且完全与磁盘I/O层分开。因此,它独立(不依赖)于硬件架构。它可以被嵌入到低成本的微控制器中,如AVR, 8051, PIC

2016-08-24 17:45:23 67668 3

转载 FatFs读写SD卡出现FR_NO_FILESYSTEM解决方法.(写的好)

来源:http://www.devlabs.cn/?p=226起因去年做了个GPS路径记录器, 将路径息记录于TF卡上, 上了FatFs系统. 刚开始那会虽然偶尔罢工, 但好歹能工作. 后来没时间也没心情了, 就搁在一边, 没再管. 前几天又找出来, 想着弄稳定了, 过年回家的时候玩一下, 结果发现居然不工作了. 想当初调试的时候折腾的死去活来, 走了无数弯路, 现在说不工作就不工

2016-08-24 17:31:37 18902 5

转载 SD卡初始化以及命令详解

http://blog.csdn.net/dengrengong/article/details/39831757SD卡是嵌入式设备中很常用的一种存储设备,体积小,容量大,通讯简单,电路简单所以受到很多设备厂商的欢迎,主要用来记录设备运行过程中的各种信息,以及程序的各种配置信息,很是方便,有这样几点是需要知道的SD 卡是基于 flash的存储卡。SD 卡和 MMC卡的区别在于初始化

2016-08-24 17:23:41 16816 1

转载 SD卡初始化及读写流程

SD卡调试关键点:1.      上电时要延时足够长的时间给SD卡一个准备过程,在我的程序里是5秒,根据不同的卡设置不同的延时时间。SD卡初始化第一步在发送CMD命令之前,在片选有效的情况下首先要发送至少74个时钟,否则将有可能出现SD卡不能初始化的问题。2.      SD卡发送复位命令CMD0后,要发送版本查询命令CMD8,返回状态一般分两种,若返回0x01表示此SD卡

2016-08-24 17:20:33 6235 1

原创 FPGA入门(1)——modelsim与quartus ii l联合

1、安装Bluster 驱动:2、查看FPGA开发板的硬件资源;3、下载例子,熟悉流程;4、Modelsim联合Quartus II进行仿真。对应的文档下载:http://pan.baidu.com/s/

2016-08-24 01:36:24 5134

转载 同步器

同步器一、RTL代码/**********************************************************程序名称:同步器简要说明:异步输入脉冲宽度小于时钟周期的信号,输出一个时钟周期的同步脉冲信号编写:武书肖最后更新:2015年4月12日星期日***************************

2016-08-23 20:17:23 1118

转载 windows批处理命令教程

新手入门:http://www.360doc.com/content/11/0105/09/5364333_84063895.shtml批处理文件是无格式的文本文件,它包含一条或多条命令。它的文件扩展名为 .bat 或 .cmd。在命令提示下键入批处理文件的名称,或者双击该批处理文件,系统就会调用Cmd.exe按照该文件中各个命令出现的顺序来逐个运行它们批处理文件是

2016-08-23 19:40:18 480

转载 FPGA/CPLD设计的几个常用技巧

FPGA/CPLD 的设计思想与技巧是一个非常大的话题,由于篇幅所限,本文仅介绍一些常用的设计思想与技巧,包括乒乓球操作、串并转换、流水线操作和数据接口的同步方法。希望本文能引起工程师们的注意,如果能有意识地利用这些原则指导日后的设计工作,将取得事半功倍的效果! 乒乓操作 “ 乒乓操作 ” 是一个常常应用于数据流控制的处理技巧,典型的乒乓操作方法如图 1 所示。 乒乓操作的

2016-08-23 19:16:49 1117

转载 FATfs系统移植

移植步骤    编写SPI和SD卡接口代码    本文使用SD卡的SPI通信模式。SD卡的DI接MOSI,DO接MISO,CS接SS。这就需要ATmegal28提供SPI读/写接口代码,主要包括初始化、读和写。SPI初始化包括SPI相关寄存器的初始化和相关I/O口的初始化。将ATmega  128的SPI配置成主机模式、数据高位先传、时钟速率为二分之一系统时钟等。代码如

2016-08-23 19:14:40 1231

转载 Git提交错误后如何回退

git reset commit在使用Git的时候需要维护一个自己的分支模型,推荐使用: http://nvie.com/posts/a-successful-git-branching-model/总体说来有一下两点:在中央仓库保存两个永久分支,master && develop,master分支是发布分支,每次发布就是从master上打包发布,程序是不允许直

2016-08-23 19:07:03 1618

原创 建立一个简单的quartus ii工程(重在流程)

第一步:根据向导,建立工程;第二步:输入RTL代码;第三步:编译;第四步:分配引脚; 注意:assignment editor  与 pin planner 区别。第五步: 在assignments -> device -> device and pin options -> as input tri-stated 。 为了,保护芯片等器件不

2016-08-23 01:02:50 2305

原创 Quartus II 11.0 安装过程

最近无聊,买个个FPGA板,重新把以前的verilog的东西拾起来。购买了一款入门级的FPGA开发板,先把基本的EDA环境给建立下。先装个ALTERA的Quartus II 11.0,店家送的破解软件。Quartus ii 11.0和以前的老版本相比,器件需要单独安装,不再默认。很顺利的装完了,剩下破解了。OK!

2016-08-22 23:45:57 4351

转载 fatfs文件系统

FatFs是一个通用的文件系统模块,用于在小型嵌入式系统中实现FAT文件系统。 FatFs 的编写遵循ANSI C,因此不依赖于硬件平台。它可以嵌入到便宜的微控制器中,如 8051, PIC, AVR, SH, Z80, H8, ARM 等等,不需要做任何修改。外文名FatFS属    于一个通用的文件系统模块用    于小型嵌入式系统中FAT文件系统特    点选项:长文件名

2016-08-22 18:02:49 677

转载 systemverilog FAQ(zz)

1. What is clocking block?Ans: Clocking block can be declared using the keywords clocking and endclocking. A clocking block is mainly used in the testbench in order to         avoid race condition

2016-08-22 17:30:27 916

转载 用VBA批量替换多个Word文档中同一内容

利用VBA可以轻松地将某文件夹下的所以WORD文档中的某个字符串全部替换为其他字符串。下面是具体操作步骤。  第一,首先将需要批量替换的多个Word文档放在同一文件夹下面。  第二,新建一空白Word文档,右击空白工具栏,单击“控件工具箱”,就可以看到屏幕上调出的控件工具箱。  第三,在控件工具箱上单击“命令按钮”,文档中就放置了一个按钮了。  第四,双击该按钮,进入VB代码编写模

2016-08-22 11:42:02 31008 4

Xilinx HDMI ZCU106 HDMI_TX_SS

基于 VIVADO2019.2的带bit/vitis完整工程

2022-06-08

SoC设计方法与实现

适合刚入门的学生看

2017-05-02

步步惊“芯”_软核处理器内部设计分析(第一部分)

由于文件限制在60M内所以分成两个压缩包上传。

2017-03-31

步步惊“芯”_软核处理器内部设计分析(第二部分)

由于文件限制在60M内所以分成两个压缩包上传。

2017-03-31

SystemVerilog 验证方法学

对新一代的验证语言SYSTEM VERILOG进行了详细的介绍,有利于验证工程师进一步提升技能。

2015-10-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除