Verilog 不同长度操作数运算(一)

在verilog中,一个简单的的运算 "f <= d + e;" ,但是当d,e,f的长度不同时,verilog是如何综合实现的呢?

为了实验,d,e采用3bit长度,f的长度根据不同的实验场景重新确定。“+”、“-”、“>>”这三种运算应该是都符合同一种规则,下面实验更多的是利用“>>”操作来验证这种规则。

整体代码如下:

`timescale 1ns/1ns
module test();

    reg[2:0] d = 3'b100;
    reg[2:0] e = 3'b100;
    reg[3:0] f;

    initial begin
        //f <= d + e;
        //f <= ((d + e) >> 3);
        //f <= (2'b00 & 3'b000) + ((d + e)>>3);
        //f <= 3'b000 + ((d + e)>>3);
        //f <= 4'b0000 + ((d + e)>>3);
        f <= (2'b00 & 4'b0000) + ((d + e)>>3);
        $strobe("f:%b",f);
        #5 $finish; 
    end
endmodule

 

实验一 (d,e,f均为3bit)

step1:(普通"+")

代码更改:

同上

结果:

f: 000

说明:

  1. 这个结果是显而易见的,并没有得到预期正确的结果
  2. 右端 d + e,本身两个都是3bit,因此运算就是在3bit上进行,结果不会超出3bit
  3. 左端 f 也为3bit,结果只取后3bit

 

step2:(移位情况)

代码更改:

f <= ((d + e) >> 3);

结果:

f: 000

说明:

  1. 该结果与上一步结果相符,仍是在3bit上运算,因此右移3位,还是0

 

step3:(移位情况+额外位操作(3-bit))

代码更改:

f <= (2'b00 & 3'b000) + ((d + e)>>3); //或改为 f <= 3'b000 + ((d + e)>>3);

结果:

f: 000

说明:

  1. 并没有获得想要的结果
  2. 因为这两种更改,虽然加入了额外的位操作,但是额外的位操作仍在3bit上进行,因此整体仍然在3bit上进行运算

 

step4:(移位情况+额外位操作(4-bit))

代码更改:

f <= (2'b00 & 4'b0000) + ((d + e)>>3); //或改为 f <= 4'b0000 + ((d + e)>>3);

结果:

f: 001

说明:

  1. 获得了想要的结果
  2. 这两种更改,加入了4-bit的位操作,将右端改为了在4位上的运算
  3. 因为 f 还是定义为3bit,所以取后3bit,结果为001

实验二 (d,e为3bit,f为4bit)

step1:(普通"+")

代码更改:

reg[3:0] f;//将f的长度改为4bit f <= d + e;

结果:

f: 1000

说明:

  1. 得到了正确的结果
  2. 虽然右端 d + e,本身两个都是3bit,但因为 f 是4bit,因此运算就是在4bit上进行,获得正确的结果

 

step2:(移位情况)

代码更改:

f <= ((d + e) >> 3); f <= 3'b000 + ((d + e)>>3); f <= (2'b00 & 4'b0000) + ((d + e)>>3);

结果:

f: 0001

说明:

  1. 这3中情况,都获得了想要的结果,可见,只要 f 达到了正确的长度,右端运算就会扩展为 f 的长度,因此计算是正确的

 

 

 

  • 9
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,有符号数的运算需要通过使用`signed`关键字或`$signed()`函数来表示。有符号数的计算需要将操作数声明为有符号类型,并且使用特定的运算符进行操作。 如果在Verilog叙述中有需要进行有符号数的计算,可以使用Verilog 2001提供的`signed`关键字或`$signed()`函数来标识有符号数。通过使用这些机制,可以确保有符号数的正确计算结果。 需要注意的是,只有两个操作数都是有符号数时,才会将这两个操作数都视为有符号数进行计算。如果只有一个操作数是有符号数,那么无论另一个操作数是有符号数还是无符号数,都会按照无符号数计算。 举个例子,假设有以下Verilog代码: ``` wire [3:0] a=4'b1001; wire signed [3:0] b=4'b1110; wire signed [4:0] c; assign c = ((a + b)>>>1); //-9=10111 ``` 在上述代码中,通过将变量`b`声明为有符号类型,可以确保与变量`a`的计算结果是按照有符号数进行计算的。在这种情况下,计算结果将是-9。 综上所述,Verilog中有符号数的运算需要使用`signed`关键字或`$signed()`函数来标识有符号数,并确保所有操作数都是有符号数才能正确进行有符号数的计算。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [verilog中的有符号数运算(转)](https://blog.csdn.net/feixiaku/article/details/8646674)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [Verilog有符号数与无符号数的数值运算](https://blog.csdn.net/u014485485/article/details/79962316)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值