【Verilog】不同位宽操作数的按位操作

不同位宽操作数按位与,低位的未定义位会自动补0

---IEEE Standard for Verilog® Hardware Description Language

例子:

测试代码

module test(
    input [7:0] a,
    input [7:0] b,
    input sel,
    output  [7:0] out1,
    output  [7:0] out2
);
    assign out1 = (sel & a);
    assign out2 = (~sel & b) ;

endmodule

//testbench
`timescale 1ns / 1ns

module tbtest;

    reg [7:0] a;
    reg [7:0] b;
    reg sel;
    wire  [7:0] out1;
    wire  [7:0] out2;
   initial begin
    a = 8'b1010_1010;
    b = 8'b1011_1011;
    sel = 1'b1;
   end
    test DUT(a, b, sel, out1, out2);


   endmodule

测试波形:

 (sel & a)  等价于

0000_0001 & 1010_1010  ==> 00000000  == out1

(~sel & b) 等价于

1111_1110 & 1011_1011 ==> 1011_1010  == out2

这里的sel先进行了补零,再进行了~操作

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值