时序约束之时钟约束02

        生成时钟

       在设计中有这样一类时钟,它是基于一个主时钟并通过相关逻辑转换后,在相位、频率、占空比等方面和主时钟有一定变化的分支时钟。我们可以把这类时钟定义为生成时钟,因此,生成时钟的时钟源来自主时钟,其相位参考主时钟,如下图所示。

        使用SDC命令create_generated_clock来定义生成时钟,示例命令如下:

        create_clock  -period  10  -waveform {0  5}  [get_ports  CLK]

        create_generated_clock  -name CLKDIV3  -source  CLK  -divide_by  3  [get_ports  DFF/Q]

        以上命令定义的是一个基于主时钟CLK的分支时钟CLKDIV3,CLKDIV3的频率是主时钟的1/3,即生成时钟的周期是主时钟的3倍。生成时钟CLKDIV3与主时钟CLK的时钟信号波形的对比图如下图所示。   

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值