寄存器(RAL)模型中的set update实现写操作

本文探讨了寄存器(RAL)模型中,如何通过set和update方法来执行写操作。set方法主要更新field的desired value,而update方法则涉及write方法调用,并通过need_update判断是否需要更新,以保持RAL模型与RTL硬件的一致性。在实践中,set和update组合使用是常见的写操作方式。
摘要由CSDN通过智能技术生成

在进行寄存器写操作时,还有一种方法是使用set,update的方式
下面来介绍一下
首先是set方法

function void uvm_reg::set(uvm_reg_data_t  value,
                           string          fname = "",
                           int             lineno = 0);
   // Split the value into the individual fields
   m_fname = fname;
   m_lineno = lineno;

   foreach (m_fields[i])
      m_fields[i].set((value >> m_fields[i].get_lsb_pos()) &
                       ((1 << m_fields[i].get_n_bits()) - 1));
endfunction: set

其实最终调用的field的set方法,根据之前设置的field的access来设置field的m_desired value,最终的效果就是设置reg的desired value

task uvm_reg::update(output uvm_status_e      status,
                     input  uvm_door_e        path = UVM_DEFAULT_DOOR,
                     input  uvm_reg_map       map = null,
                     input  uvm_sequence_base parent = null,
        
  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值