UVM读书笔记-field automation 机制

field automation 机制

作用

uvm_object核心方法主要提供与数据操作的相关服务,Copy、Clone、Compare、Print、Pack/Unpack。

为了减少用户负担,UVM通过field automation 机制,使用户在注册UVM类的同时也可以声明今后会参与到对象复制、克隆、打印等操作的成员变量。不声明的化,不会自动参与对象复制、克隆、打印等操作。

应用场所

域的自动化宏声明应该在uvm_object或者uvm_componet注册时发生,即在`uvm_object_utils_begin和`uvm_object_utils_end之间,或者在`uvm_component _utils_begin和`uvm_component_utils_end之间《芯片验证漫游指南》P276。

实现方式

根据成员类型选择域自动化的宏声明,通过FLAG控制数据操作的方法。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值